Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alexandra McClelland is active.

Publication


Featured researches published by Alexandra McClelland.


Proceedings of SPIE | 2012

Positive-tone chemically amplified fullerene resist

J. Manyam; Andreas Frommhold; Dongxu Yang; Alexandra McClelland; M. Manickam; Jon A. Preece; Richard E. Palmer; Alex P. G. Robinson

With continuing efforts to achieve higher lithographic resolution there has been on-going interest in the development of low molecular weight resists, such as molecular glasses. Here we present the initial results of a study into the development of a positive tone two component chemically amplified resist based on methanofullerene derivatives (MF) with acid labile groups (tert-butyl acetate (tBAC); tert-butoxycarbonyl (tBOC)). Mono, di, tris and hexa adducts of MFtBAC together with mono and di adducts of MF-tBOC have been evaluated with several photoacid generators. Sensitivities as high as 11 μC/cm2 have been achieved in some cases and sub-100 nm features have been patterned.


Journal of Micro-nanolithography Mems and Moems | 2013

Performance of negative tone chemically amplified fullerene resists in extreme ultraviolet lithography

Andreas Frommhold; Dongxu Yang; Alexandra McClelland; Xiang Xue; Yasin Ekinci; Richard E. Palmer; Alex P. G. Robinson

Abstract. With extreme ultraviolet lithography (EUVL) emerging as one of the top contenders to succeed from optical lithography for the production of next generation semiconductor devices, the search for suitable resists that combine high resolution, low line edge roughness (LER) and commercially viable sensitivity for high volume production is still ongoing. One promising approach to achieve these goals has been the development of molecular resists. Here we report our investigations into the EUV lithographic performance of a molecular fullerene resist showing resolution down to 20-nm half-pitch with interference lithography with a LER of >5  nm and sensitivity of about 20  mJ/cm2.


Proceedings of SPIE | 2015

Towards 11nm half-pitch resolution for a negative-tone chemically amplified molecular resist platform for extreme-ultraviolet lithography

Andreas Frommhold; Alexandra McClelland; Dongxu Yang; Richard E. Palmer; John Roth; Yasin Ekinci; Mark C. Rosamund; Alex P. G. Robinson

We have synthesized a new resist molecule and investigated its high-resolution capability. The material showed resolved line-spaces with 14 nm half-pitch (hp) and the potential to pattern 11 nm hp features. Line edge roughness values as low as 3.15 nm were seen in optimized formulations. The dose-to-size is estimated at around 20-30 mJ/cm2. The role of the molecule in the patterning process was studied by comparing it with structurally similar compounds. Furthermore we present first results from exposures of our materials at the Berkeley Micro Exposure Tool. Finally it is also demonstrated that the material works as a resist in 100 kV electron beam lithography as well.


Proceedings of SPIE | 2014

Optimization of fullerene-based negative tone chemically amplified fullerene resist for extreme ultraviolet lithography

Andreas Frommhold; Dongxu Yang; Alexandra McClelland; Xiang Xue; Yasin Ekinci; Richard E. Palmer; Alex P. G. Robinson

While the technological progress of Next Generation Lithography (NGL) steadily continues, further progress is required before successful insertion in high volume manufacturing is possible. A key issue is the development of new resists suitable to achieve higher lithographic resolution with acceptable sensitivity and line edge roughness. Molecular resists have been a primary focus of interest for NGL because they promise high resolution and small line edge roughness (LER), but no suitable resist candidate has emerged yet that fulfills all of the industry’s criteria. We have previously shown first extreme ultraviolet lithography (EUVL) exposures for a new fullerene derivative based three-component negative tone chemically amplified resist with suitable properties close to or within the target range of the resist metrics as set out in the International Technology Roadmap for Semiconductors for 2016. Here we present the results of our efforts to optimize the EUVL performance of our resist system especially with regards to LER.


Proceedings of SPIE | 2016

Optimization and sensitivity enhancement of high-resolution molecular resist for EUV lithography

Andreas Frommhold; Alexandra McClelland; John Roth; Roberto Fallica; Yasin Ekinci; Alex P. G. Robinson

We have recently introduced a new molecular resist system that demonstrates high-resolution capability. A series of studies such as quencher choice and loading was conducted in order to optimize the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a line width roughness (LWR) of 3.56 nm at the XIL beamline of the Swiss Light source. Furthermore it was possible to resolve 11 nm hp features with 5.9 nm LWR. First exposure results on an NXE3300 are also presented. We have also begun to investigate the addition of metals to EUV photoresist as a means to increase sensitivity and modify secondary electron blur. Initial results for one of the metal additives show that the sensitivity could be enhanced by up to 60 percent.


Proceedings of SPIE | 2013

EUV lithography performance of negative-tone chemically amplified fullerene resist

Andreas Frommhold; Dongxu Yang; Alexandra McClelland; Xiang Xue; Richard E. Palmer; Alex P. G. Robinson

With Extreme Ultraviolet Lithography (EUVL) emerging as one of the top contenders to succeed from optical lithography for the production of next generation semiconductor devices, the search for suitable resists that combine high resolution, low line edge roughness (LER) and commercially viable sensitivity for high volume production is still ongoing. One promising approach to achieve these goals has been the development of molecular resists. We have previously reported on a molecular negative tone resist for e-beam lithography based on fullerene derivatives. Since then we have developed the system further to adapt it to EUVL. Investigation into the lithographic performance of the resist shows resolution down to 20 nm halfpitch with LERs < 5 nm and sensitivities ~ 20 mJ/cm2.


International Conference on Extreme Ultraviolet Lithography 2018 | 2018

Progress in multi-trigger resists for EUV lithography (Conference Presentation)

Alex Philip Graham Robinson; Carmen Popescu; Alexandra McClelland; Guy Dawson; John Roth; Yannick Vesters; Dimitrios Kazazis; Yasin Ekinci; Wolfgang Theis; Danilo De Simone; Geert Vandenberghe

Recent tool and source advances make the introduction of EUV lithography into high volume manufacturing in the very near future inevitable. Whilst traditional chemically amplified resists will likely support the initial insertion, a wide range of materials options are being examined for future nodes, aiming to identify a photoresist that simultaneously meets the resolution, line edge roughness and sensitivity requirement. However, this issue represents a fundamental trade-off in lithography (the RLS triangle) and it is difficult to overcome. For instance, addition of quenchers in chemically amplified resists reduces the acid diffusion length and increases the resolution of the patterned features, but decreases the sensitivity, and impacts on material stochastics affecting the line edge roughness. In this study we present results obtained with Irresistible Materials’ Multi Trigger Resist. The multi trigger concept enables high sensitivity patterning but also incorporates a quenching behaviour into the chemistry to improve resolution. The standard material consists of a base molecule – EX2, a crosslinker and a PAG. EUV light generates photoacids, as with a traditional chemically amplified resist, but the response of the resist matrix implements a logic-type function. Where two resist molecules are activated by two acids, in close proximity to each other, then the resist molecules will react catalytically and release both acids. When a resist molecule encounters a single acid in isolation then it will hold on to the acid, without itself reacting, thus removing the acid from the reaction. This behaviour allows a high sensitivity response at a certain dose threshold but turns the resist response off much more quickly (as a 2nd order reaction) as the dose decreases, leading to sharper lines and lower line width roughness. We present results where the molecular structure was modified to create enhanced versions of the standard resin. This will offer higher cross-linking capability and better mechanical strength to reduce the LER, wiggling and defects, and thus ultimately higher resolution. We present the lithography performance of the MTR2 resist series which shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm when patterned using an NXE3300. We also present a new resist formulation using a crosslinker with a high opacity non-metallic atom attached, which has patterned 13nm lines at the Paul Scherrer Institute (14nm half pitch) and also 13nm lines on the MET tool at Berkeley (20nm half pitch) with an LER of 4.24nm. We also present the lithographic performance of the MTR3 resist series which is 10% faster than the MTR2 series when patterning with EUV lithography at PSI, and has achieved a 2.95nm LER at 16nm half pitch, and 3.80nm LER at 14nm half pitch at PSI. Performance across various process conditions is also discussed, including process conditions to reduce wiggling and improve LER.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Multi-trigger resist patterning with ASML NXE3300 EUV scanner

Alex P. G. Robinson; Yannick Vesters; Alexandra McClelland; Carmen Popescu; Guy Dawson; John Roth; Wolfgang Theis; Danilo De Simone; Geert Vandenberghe

Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), and during the past years, has been mainly tested using interference lithography at PSI. In this study, we present the results that have been obtained using MTR resists, performing EUV exposures on ASML NXE3300B EUV scanner at IMEC. We present the lithography performance of the MTR1 resist series in two formulations – a high-speed baseline, and a formulation designed to enhance the multi-trigger behaviour. Additionally, we present results for the MTR2 resist series, which has been designed for lower line edge roughness. The high-speed baseline resist (MTR1), showed 18 nm resolution at 20mJ/cm2. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm. Performance across multiple process conditions are discussed. We performed etch rate measurement and the multi-trigger resist showed etch resistance equivalent or better than standard chemically amplified resist. This could compensate for the lower film thickness required to avoid pattern collapse at pitch 32nm.


Extreme Ultraviolet (EUV) Lithography IX | 2018

High-resolution EUV lithography using a multi-trigger resist

Alex P. G. Robinson; Carmen Popescu; Dimitrios Kazazis; Alexandra McClelland; Guy Dawson; John Roth; Wolfgang Theis; Yasin Ekinci

As minimum lithographic size continues to shrink, the development of techniques and resist materials capable of high resolution, high sensitivity and low line edge roughness (LER) have become increasingly important for next-generation lithography. In this study we present results where the behaviour of the resist is driven towards the multi-trigger regime by manipulating the resist formulation. We also present results obtained after enhancements of the base molecule to give high resolution, better LER, and a significant sensitivity enhancement of 40% over the standard material. Finally, we present the inclusion of non-metallic high-Z elements into the formulation to allow for a further reduction in LER at the same resolution and sensitivity as seen for the enhanced MTR molecule, indicating a direction for further improvements.


Advances in Patterning Materials and Processes XXXV | 2018

High-carbon fullerene based spin-on organic hardmask

Warren Montgomery; Alex Philip Graham Robinson; Guy Dawson; Alexandra McClelland; Alan G. Brown; Tom Lada

Irresistible Materials has previously introduced the HM340 series of fullerene based spin-on carbon, and reported on material characterization, including very high carbon content and high thermal stability. The materials have a low Ohnishi number providing high etch durability and the low hydrogen level allows for high-resolution etching without wiggling. Here we present further investigation of the spin-on-carbon, and demonstrate the flexibility of the platform. Film carbon content can be easily varied from as high as 95% (suitable for high durability etches) to as low as 80% (for instance to use as a sacrificial etch material). We present characterisation of the optical properties of the materials, and process and formulation developments. Work to vary the curing temperature between 200 and 300°C, and to reduce cure times to 90 seconds or less has been successfully undertaken. Alternative casting solvents have been investigated and work to achieve spin coatable film thickness ranges from less than 50 nm to significantly more than 500nm is described. and spin-coatable film thickness ranges from less than 50 nm to significantly more than 500nm are described. Results from initial planarisation trials are presented together with initial results from on-going work on spin-on carbon formulations that exceed 95% carbon content.

Collaboration


Dive into the Alexandra McClelland's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yasin Ekinci

Paul Scherrer Institute

View shared research outputs
Top Co-Authors

Avatar

Carmen Popescu

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar

Guy Dawson

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar

Dongxu Yang

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Wolfgang Theis

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Danilo De Simone

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge