Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alfred K. K. Wong is active.

Publication


Featured researches published by Alfred K. K. Wong.


SPIE's 1995 Symposium on Microlithography | 1995

Pattern-dependent correction of mask topography effects for alternating phase-shifting masks

Richard A. Ferguson; Alfred K. K. Wong; Timothy A. Brunner; Lars W. Liebmann

Strategies for modifying both mask fabrication processes and design data for alternating phase-shifting masks to account for mask scattering phenomena are explored. Results were derived from the rigorous solution of Maxwells equations using the EMFlex and TEMPEST programs for an etched-quartz fabrications process. By importing the resulting diffracted orders into VCIMAGE, full vector calculation of the aerial image from mask to wafer was obtained. From the rigorous mask simulations, the 0th and 1st diffracted orders were translated into an effective transmission and phase based on a thin-mask approximation. With this analysis technique, a 0.25 micrometers line-space grating for the baseline etched-quartz process (4X magnification) showed a transmission error of 7.2% and a phase error of 1.6 degree(s). In order to compensate for these errors, etch-back fabrication techniques, in which the quartz was recessed beneath the chrome, were evaluated to determine the extent to which the transmission and phase errors could be reduced. For the dual etch-back process typically in use today, a residual transmission error of approximately 0.5% could not be completely removed, even for etch-back depths greater than 200 nm. Correction of the phase errors was achieved by reducing the reactive-ion etch depth by 2-3 nm. Design manipulation, in which the 180 degree(s) opening was increased in size, required feature-dependent phase errors as large as 1 degree(s) were present.


Optical/Laser Microlithography V | 1992

Polarization effects in mask transmission

Alfred K. K. Wong; Andrew R. Neureuther

Polarization and interface reflection effects are examined for technology issues in mask making of chrome edge shape, overcoating, double phase-shifting removal of defects, and reflective masks. This study is based on the massively parallel rigorous electromagnetic simulator TEMPEST1, with extensions to include the TM polarization and boundary conditions for outgoing waves in optical materials. The analysis was carried out on a CM-2 connection machine with remote electronic access. A local workstation was used to write and preview the geometry as well as process images from files of diffraction efficiencies downloaded to the workstation from the network. Through this remote analysis procedure, the four proto-typical mask case studies described by Doi et al.2 were examined for polarization and boundary condition effects.nFor chrome masks of one wavelength wide opening in a IX system at 0.248 |im, moderate differences between the TE and the TM polarizations were observed in the peak intensities (10%). The TM polarization in general showed higher transmission and lower sidelobes. The two polarizations showed a similar increase in linewidth and peak intensity with decreasing chrome edge slope. The difference was not as pronounced for a 5X system at 0.365 |Lim. Overcoating chrome masks with anti-reflection layers improved resolution for both polarizations. For 5X chromeless phase-shifting masks at 0.365 pm, neither polarization effect nor phase-shifter edge slope was important. The peak intensity at the phase-shifting section changed by only 1% and the linewidth varied by less than 2% when the phase-shifter edge slope changed from 90° to 45°, keeping the mid-points of the phase-shifter edge fixed. To remove defects on such masks by double phase-shifting may drop the intensity level to 70% of the clear field value for a 0.1 X/NA sized defect. For IX reflective masks at one wavelength, both polarizations displayed similar behaviors. These reflective masks with built-in material-based phase-shifting improved the image slope, and the TM polarization was found to have slightly less ringing than the TE polarization.


IEEE Transactions on Electron Devices | 1994

Mask topography effects in projection printing of phase-shifting masks

Alfred K. K. Wong; Andrew R. Neureuther

Topography effects of glass edges in phase-shifting masks (PSMs) on image quality are assessed using the rigorous electromagnetic simulation program TEMPEST on three different optical systems for four PSM technologies including alternating, rim, attenuated, and chromeless. The scalar and thin mask approximations used in simulation programs such as SPLAT can be in error by as much as 20% for certain classes of shifter edges. A feature size independent bias of 0.021 /spl lambda//NA per edge is recommended for alternating masks with vertical edges because light is lost near the etched glass edges. No direct electromagnetic interaction between chromium edges and shifter edges was found for rim phase-shifting masks. The rim dimension can thus be designed solely on the basis of the sidelobe level and peak intensity. For attenuated PSM, edge effects are less severe but sidelobe problems occur. For a center to sidelobe contrast of 0.6 over a DOF of 3 RU, a lower transmission of 4% is recommended. For chromeless PSM, the imbalance in image peaks is shown to be affected by the optical stepper parameters. In any PSM technology, it appears that a 360/spl deg/ glass protrusion may produce a drastic drop in intensity due to resonant effects. >


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1995

Massively parallel electromagnetic simulation for photolithographic applications

Alfred K. K. Wong; Roberto Guerrieri; Andrew R. Neureuther

The two-dimensional massively parallel electromagnetic simulation program TEMPEST has been generalized to extend its applicability to many of the difficult problems in photolithography, metrology, and alignment. TEMPEST, which has been made available on the NCSA and other computing centers, combines together techniques for analysis of the transverse electric (TE) and the transverse magnetic (TM) polarizations, oblique incidence, highly dispersive materials, and a technique for synthesis of partially coherent optical images. The solution is based on the time-domain finite-difference method, but exploits the power of massively parallel computer architectures. Equations suitable for massively parallel implementation are given for oblique incidence, both polarizations and dispersive materials. Computer time per iteration cycle is constant irrespective of the polarization and angle of incidence. However, the total simulation time for convergence was found to be dominated by physical scattering phenomena. Convergence for the TM polarization is 1.5 times slower than the TE polarization because of edge currents, and oblique incidence is 2 times slower than normal incidence owing to artificial reflection from the domain boundaries. A typical simulation time is three to five minutes with 256 k (1 k=1024) simulation nodes on a CM-2 with 8 k processors. The effectiveness of the program for photolithographic applications is demonstrated by considering the effects of subtle changes in phase-shifting mask topography on the optical images. >


Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing III | 1993

Effects of absorber topography and multilayer coating defects on reflective masks for soft x-ray/EUV projection lithography

Khanh Nguyen; Alfred K. K. Wong; Andrew R. Neureuther; David T. Attwood

The effects of mask topography and multilayer coating defect on areal images of reflective masks for soft x-ray projection lithography is studied using electromagnetic simulation. Masks made by depositing and patterning an absorber layer over the multilayer coating was found to be insensitive to variations in incidence angle and absorber layer edge profile. 100 nm of gold, germanium or carbon absorber is sufficient for high image contrast. Masks made by etching patterns into the multilayer stack is more sensitive to variations in incidence angle and edge profile. Defects on the substrate severely degrade the areal image. Different coverage profiles results in widely different areal image.


12th Annual BACUS Symposium on Photomask Technology and Management | 1993

Edge effects in phase-shifting masks for 0.25-µm lithography

Alfred K. K. Wong; Andrew R. Neureuther

The impact on image quality of scattering from phase-shifter edges and of interactions between phase-shifter and chrome edges is assessed using rigorous electromagnetic simulation. Effects of edge taper in phase-shift masks, spacing between phase-shifter and chrome edges, small outrigger features with a trench phase-shifter, and of the repair of phase defects by etching to 360 degree(s) are considered. Near field distributions and diffraction efficiencies are examined and images are compared with more approximate results from the commonly used Hopkins theory of imaging.


SPIE'S 1993 Symposium on Microlithography | 1993

Phase-shifting mask topography effects on lithographic image quality

Christophe Pierrat; Alfred K. K. Wong; Sheila Vaidya; Matthew Vernon

The impact of phase-shifting mask topography on wafer exposure was studied via simulations and experimentation using phase-shifting masks fabricated by etching the quartz to define the shifted areas. The influence of the refractive index of the chromium layer was shown to be minimal and for all the simulations, the refractive index was assumed to be 2.5 - 2.0 j at 248 nm. As the chromium profiles marginally influence the linewidth of the resist patterns, the simulated intensity assumes vertical profiles. Moreover, it was shown experimentally and using simulations that the quartz profiles have a large impact on the wafer results. For vertical quartz profiles, the intensity of the light going through the etched portion of the mask is lower than that going through the unetched portion of the mask and varies with feature size. The consequences are that 0 degree(s) and 180 degree(s) phases cannot be interchanged blindly during the layout of a phase-shifting mask and that the pinhole phase-defect repair technique consisting in etching 360 degree(s) phase slots in the quartz will not yield the same intensity profile as a defect- free region. These problems can be addressed either by optimizing the quartz profiles or by biasing the size of the features depending on the type of pattern.


Optical/Laser Microlithography IV | 1991

Experimental and simulation studies of alignment marks

Alfred K. K. Wong; Takeshi Doi; Diana D. Dunn; Andrew R. Neureuther

The roles of alignment mark structure, geometry, film materials and scanned illumination image on dark-field alignment signal quality are investigated through simulation interpreted experiments. The illumination of the alignment system consists of a low numerical aperture 1.0 micrometers image of a slit at 488.0 and 514.5 nm which is scanned across the alignment mark. The light scattered into a dark-band protected cone is collected. The structures consist of 0.5 to 1.2 micrometers wide features including trenches in silicon coated with 1.34 micrometers of photoresist, and trenches in nitride coated silicon with 1.00 micrometers of photoresist. For simulation, the illumination image is calculated using SAMPLE and input to a massively parallel finite-difference time-domain scattering analysis program called TEMPEST at various lateral shifts to simulate scanning. The diffracted orders output from TEMPEST are used to calculate total diffracted energy in various angular bands and to view dark field instantaneous images. Studied of depth, width, thin-film stack and coating thickness are used to identify key phenomena such as the role of interference between reflection from the alignment mark and substrate surfaces. Simulations are used to interpret the experimental observations and provide guidelines for topography control, and illumination and collection optics. The alignment signal is shown to be a strong function of trench depth, and only a weak function of trench width. An order of magnitude signal strength reduction occurs when the effective optical depth of the trench is a multiple of (lambda) resist/2 compared to the surrounding area.


SPIE's 1994 Symposium on Microlithography | 1994

Impact of attenuated mask topography on lithographic performance

Richard A. Ferguson; William J. Adair; David S. O'Grady; Ronald M. Martino; Antoinette F. Molless; Brian J. Grenon; Alfred K. K. Wong; Lars W. Liebmann; Alessandro Callegari; Douglas Charles Latulipe; Donna M. Sprout; Christopher Seguin

Experimental evaluations were used in conjunction with rigorous electromagnetic simulations to evaluate the affect of attenuated phase-shifting mask (PSM) fabrication processes on lithographic performance. Three attenuated PSMs were fabricated including a normal leaky- chrome reticle and two novel approaches: a recessed leaky-chrome reticle for reduction of edge scattering and a single-layer reticle employing a hydrogenated amorphous carbon film. Direct aerial image measurements with the Aerial Image Measurement System (AIMSTM), exposures on an SVGL Micrascan 92 deep-UV stepper, and TEMPEST simulations were used to explore the effects of edge-scattering phenomena for the different mask topographies. For each reticle, the process window at a feature size of 0.25 micrometers was evaluated for four basic feature types: nested lines, isolated lines, isolated spaces, and contact holes. Further evaluation of the sidewall profiles and the image size on the mask are required to address these discrepancies.


SPIE's 1994 Symposium on Microlithography | 1994

Phase-shifter edge effects on attenuated phase-shifting mask image quality

Alfred K. K. Wong; Richard A. Ferguson; Andrew R. Neureuther

Edge effects of space, line, and linespace patterns in attenuated phase-shifting masks are studied using experimentally measured aerial images from the IBM AIMS tool, the scalar and thin mask approximations in SPLAT, and the rigorous electromagnetic simulator TEMPEST. The inadequacy of the thin mask approximation cannot be anticipated from comparisons of in- focus images of isolated line features as the experimentally measured image and the predictions from SPLAT and TEMPEST agree well. However, the scalar and thin mask approximations are not suitable for out of focus image prediction for all pattern types because the presence of the glass edges causes a focus shift of about 0.1 micrometers . Printing small isolated spaces and dense linespace patterns is more robust than isolated lines in the attenuated PSM technology.

Collaboration


Dive into the Alfred K. K. Wong's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Takeshi Doi

University of California

View shared research outputs
Top Co-Authors

Avatar

Anita S. Chiu

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Khanh Nguyen

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge