Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Charles D. Schaper is active.

Publication


Featured researches published by Charles D. Schaper.


Journal of The Electrochemical Society | 1994

Modeling, Identification, and Control of Rapid Thermal Processing Systems

Charles D. Schaper; Mehrdad M. Moslehi; Krishna C. Saraswat

A wafer temperature control system is developed for rapid thermal processing (RTP) semiconductor manufacturing equipment. The control algorithm is based on a physical model describing the heat transfer effects in advanced RTP equipment. A model identification procedure is proposed to estimate the uncertain parameters of the model from a set of experiments. Through singular value analysis, the impact of equipment design on feedback controller development is studied. An internal model control (IMC) design methodology is used to develop a low‐order multivariable feedback control algorithm. The feedback controller is coordinated with additional modules including feedforward control and gain scheduling to achieve improved performance and flexibility. The algorithms are applied to three different multizone RTP systems. Temperature controlled ramps are demonstrated from 20 to 900°C at 45°C/s with less than ±5°C during the ramp at high temperatures and less than ±1°C average nonuniformity during steady state as measured by three radially distributed temperature sensors.


IEEE Transactions on Semiconductor Manufacturing | 2002

Real-time predictive control of photoresist film thickness uniformity

Lay Lay Lee; Charles D. Schaper; Weng Khuen Ho

With the trends toward larger wafer size and the linewidth going below 100 nm, one of the challenges is to control the resist thickness and uniformity to a tight tolerance in order to minimize the thin-film interference effect on the critical dimension. In this paper, we propose a new approach to improve resist thickness control and uniformity through the softbake process. Using an array of thickness sensors, a multizone bakeplate, and advanced control strategy, the temperature distribution of the bakeplate is manipulated in real time to reduce resist thickness nonuniformity. The bake temperature is also constrained to prevent the decomposition of a photoactive compound in the resist. We have experimentally obtained a repeatable improvement in resist thickness uniformity from wafer-to-wafer and across individual wafers. Thickness nonuniformity of less than 10 /spl Aring/ has been obtained. On average, there is 10 /spl times/ improvement in the thickness uniformity as compared to conventional softbake process.


IEEE Transactions on Semiconductor Manufacturing | 1994

Control of MMST RTP: repeatability, uniformity, and integration for flexible manufacturing [ICs]

Charles D. Schaper; Mehrdad M. Moslehi; Krishna C. Saraswat

A real-time multivariable strategy is used to control the uniformity and repeatability of wafer temperature in rapid thermal processing (RTP) semiconductor device manufacturing equipment. This strategy is based on a physical model of the process where the model parameters are estimated using an experimental design procedure. The internal model control (IMC) law design methodology is used to automatically compute the lamp powers to a multizone array of concentric heating zones to achieve wafer temperature uniformity. Control actions are made in response to real-time feedback information provided by temperature sensing, via pyrometry, at multiple points across the wafer. Several modules, including model-scheduling and antiovershoot, are coordinated with IMC to achieve temperature control specifications. The control strategy, originally developed for prototype equipment at Stanford University, is analyzed via the customization, integration, and performance on eight RTP reactors at Texas Instruments conducting thirteen different thermal fabrication operations of two sub-half-micron CMOS process technologies used in the the Microelectronics Manufacturing Science and Technology (MMST) program. >


conference on decision and control | 1999

Control systems for the nanolithography process

Charles D. Schaper; K. El-Awady; A. Tay

The lithography process is the critical step in the fabrication of nanostructures for integrated circuit manufacturing. In this paper, a summary is presented of several projects applying control and signal processing techniques to lithography. We begin with a discussion of areas in the optical exposure process where systems techniques make a significant difference. These areas include the optical mask preparation step where fast simulation methods and computational algorithms are used for mask design. Critical systems areas for next generation lithography are noted including nanopositioning by precision control of flexure systems and alignment by signal processing of laser interferometry strategies. A case study is then presented of temperature control for the postexposure bake step of sensitive chemically amplified photoresists used in deep-UV lithography.


Process, equipment, and materials control in integrated circuit manufacturing. Conference | 1999

Spatially programmable temperature control and measurement for chemically amplified photoresist processing

Charles D. Schaper; Khalid El-Awady; Arthur E.B. Tay

Preliminary performance data is presented for a new thermal processing module. The system is directed towards conducting the temperature sensitive baking and chilling steps for chemically amplified photoresists. The module is comprised of 49 individual heating zones. The zones can be controlled independently with separate temperature sensing, actuation and feedback control mechanisms. A supervisory control strategy is applied to coordinate the individual zones. An in-situ chill plate is used to enable a temperature controlled cool-down phase without the need for substrate movement. Results are presented to demonstrate temperature control over the plate to within plus or minus 0.02 degrees Celsius. Wafer temperature is controlled to within plus or minus 0.05 degrees Celsius as measured at 5 sites. Photomask processing results are presented depicting steady-state control to within plus or minus 0.05 degrees Celsius as measured at 16 sites within one quadrant of the substrate. The advantages of the system are discussed including better temperature uniformity than conventional systems and the ability to conduct multiple experiments in a single run by biasing the setpoint across the substrate.


IEEE Transactions on Semiconductor Manufacturing | 2000

Optimal predictive control with constraints for the processing of semiconductor wafers on bake plates

Weng Khuen Ho; Arthur Tay; Charles D. Schaper

An optimal control scheme is designed to improve repeatability by minimizing the loading effects induced by the common processing condition of placement of a semiconductor wafer at ambient temperature on a large thermal-mass bake plate at processing temperature. The optimal control strategy is a model-based method using linear programming to minimize the worst-case deviation from a nominal temperature set point during the load disturbance condition. This results in a predictive controller that performs a predetermined heating sequence prior to the arrival of the wafer as part of the resulting feedforward/feedback strategy to eliminate the load disturbance. This procedure is based on an empirical model generated from data obtained during closed-loop operation. It is easy to design and implement for conventional thermal processing equipment. Experimental results are performed for a commercial conventional bake plate and depict an order-of-magnitude improvement in the settling time and the integral-square temperature error between the optimal predictive controller and a feedback controller for a typical load disturbance.


Journal of Vacuum Science & Technology B | 2004

Polyvinyl alcohol templates for low cost, high resolution, complex printing

Charles D. Schaper; Alan Miahnahri

Templates for imprint lithography and MxL (molecular transfer lithography) are generated by adhesion of a spin-cast film of polyvinyl alcohol (PVA) to a carrier comprised of materials selected for conformability or distortion reduction. Template formation using both polymeric carrier materials and rigid materials, including quartz and glass carrier materials, is demonstrated. The absence of a carrier material altogether to form a suspended thin film is shown to be feasible. The rigid template material is formed by bonding the PVA patterned film to a rigid carrier while still connected to the master pattern, and it is demonstrated that separation occurs at the PVA-silicon master pattern interface. Form factors for the templates include a 25mm×25mm patterned film attached to a 65mm×65mm glass substrate, a full 100 mm pattern bonded to a quartz substrate, and a 100 mm mask of Mylar™ bonded with a patterned PVA film for MxL applications. These carrier materials are developed in a form factor compatible with c...


Applied Physics A | 1992

Low-order modeling and dynamic characterization of rapid thermal processing

Charles D. Schaper; Young Man Cho

A low-order model of rapid thermal processing (RTP) of semiconductor wafers is derived. The first-principles nonlinear model describes the static and dynamic thermal behavior of a wafer with approximate spatial temperature uniformity undergoing rapid heating and cooling in a multilamp RTP chamber. The model is verified experimentally for a range of operating temperatures from 400° C to 900° C and pressures of 1 Torr and 1 atmosphere in an inert N2 environment. Theoretical predictions suggest model validity over a still wider range of operating conditions. One advantage of the low-order model over previous high-order and statistical models is that the proposed model contains a small number of fundamental parameters and functions that, if necessary, are easily identifiable. Furthermore, because of reduced computational complexity, the low-order model can be used in real-time predictive applications including signal processing and process control design.In studying and verifying the model, the dynamic behavior of a semiconductor wafer undergoing rapid temperature changes is characterized. Close comparison between theory and experiment in terms of the wafer eigenvalue and dc gain is demonstrated; the strong nonlinear effects of temperature are shown. Convective heat transfer losses are also examined and are shown to increase with radial position on the wafer.


IEEE Transactions on Semiconductor Manufacturing | 1994

Rapid thermal multiprocessing for a programmable factory for adaptable manufacturing of ICs

Krishna C. Saraswat; P.P. Apte; Len Booth; Yunzhong Chen; P. Dankoski; F.L. Degertekin; G.F. Franklin; Butrus T. Khuri-Yakub; Mehrdad M. Moslehi; Charles D. Schaper; P.J. Gyugyi; Yong Jin Lee; J. Pei; Samuel C. Wood

This paper presents an overview of research at Stanford University on the development of concepts of a programmable factory, based on a new generation of flexible multifunctional equipment implemented in a smaller flexible factory. This approach is demonstrated through the development of a novel single wafer Rapid Thermal Multiprocessing (RTM) reactor with extensive integration of sensors, computers and related technology for specification, communication, execution, monitoring, control, and diagnosis to demonstrate the programmable nature of the RTM. The RTM combines rapid thermal processing and several other process environments in a single chamber, with applications for multilayer in-situ growth and deposition of dielectrics, semiconductors and metals. Because it is highly instrumented, the RTM is very flexible for in-situ multiprocessing, allowing rapid cycling of ambient gases, temperature, pressure, etc. It allows several processing steps to be executed sequentially in-situ, while providing sufficient flexibility to allow optimization of each processing step. This flexibility is partially the result of a new lamp system with three concentric rings each of which is independently and dynamically controlled to provide for better control over the spatial and temporal optical flux profile resulting in excellent temperature uniformity over a wide range of process conditions namely temperatures, pressures and gas flow rates. The lamp system has been optimally designed through the use of a newly developed thermal simulator. For equipment and process control, a variety of sensors for real-time measurements and a model based control system have been developed. >


IEEE Transactions on Semiconductor Manufacturing | 1999

Integrated bake/chill for photoresist processing

Khalid El-Awady; Charles D. Schaper

A thermal cycling system for baking and chilling semiconductor wafers is presented for photoresist processing applications. The proposed unit differs from conventional systems as the bake and chill steps are conducted sequentially within the same module without substrate movement. The unit includes a circulating fluid that can be switched between hot and cold reservoirs and serves as the dominant means for heat transfer. A set of thermoelectric devices is used in conjunction with the hot/cold fluid to provide a distributed amount of heat to the wafer for uniformity and transient temperature control. Experimental results are provided to demonstrate temperature uniformity during both transient and steady-state operation.

Collaboration


Dive into the Charles D. Schaper's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Weng Khuen Ho

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar

Arthur Tay

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Young Man Cho

Seoul National University

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge