Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Cheng Bai Xu is active.

Publication


Featured researches published by Cheng Bai Xu.


Proceedings of SPIE | 2008

Non-topcoat resist design for immersion process at 32-nm node

Steven Wu; Aroma Tseng; Bill Lin; Chun Chi Yu; Bo-Jou Lu; Wen-Shiang Liao; Deyan Wang; Vaishali Vohra; Cheng Bai Xu; Stefan Caporale; George G. Barclay

At the 32nm node, the most important issue for mass production in immersion lithography is defectivity control. Many methods have been studied to reduce post-exposure immersion defects. Although a topcoat process demonstrates good immersion defect prevention, a topcoat-less resist process is an attractive candidate for immersion lithography due to cost reduction from a simplified process. In this paper we took the innovative approach of chemically designing an internal self-assembling barrier material that creates a thin embedded layer which functions as a topcoat. Data will be presented on this novel self assembly concept, illustrating the control of leaching, contact angle and immersion defects. Several optimized process flows with non-topcoat resists were also studied to decrease the amount of immersion defects. This study was used to verify the capability of a topcoat-less immersion process to achieve the low-defectivity levels required for 32nm node production.


Proceedings of SPIE | 2015

Development of spin-on metal hardmask (SOMHM) for advanced node

Shintaro Yamada; Deyan Wang; Vivian P. W. Chuang; Cong Liu; Sabrina Wong; Michael B. Clark; Charlotte Cutler; William H. Williams; Paul Baranowski; Mingqi Li; Joe Mattia; JoAnne Leonard; Peter Trefonas; Kathleen O’Connell; Cheng Bai Xu

With the continuous demand for higher performance of computer chips and memories, device patterns and structures are becoming smaller and more complicated. Hard mask processes have been implemented in various steps in the devise manufacturing, and requirements for those materials are versatile. In this paper, novel organometal materials are presented as a new class of spin on solution in order to support the hard mask process. Type of metals, formulation scheme and processing conditions were carefully designed to meet the fundamental requirements as a spin on solution, and their characteristic properties were investigated in comparison to other conventional films such as spin on carbons (SOC), organic bottom anti-reflective coatings (oBARC) and inorganic films formed by chemical vapor deposition (CVD). Several advantages were identified with these SOMHM materials over other films which include 1) better thermal stability than SOC once fully cured, 2) reworkable with industry standard wet chemistry such as SC-1 where conventional Si-BARC is difficult to remove, 3) a wide range of optical constants to suppress reflection for photoresist imaging, 4) high etch resistance and 5) better gap filling property. Curing conditions showed a significant impact on the performance of SOMHM films, and X-ray photoelectron spectroscopy (XPS) was utilized to elucidate the trends. With SOMHM film as a BARC, photolithographic imaging was demonstrated under ArF immersion conditions with 40nm linewidth patterning.


Proceedings of SPIE | 2016

Chemical trimming overcoat: an enhancing composition and process for 193nm lithography

Cong Liu; Kevin Rowell; Lori Anne Joesten; Paul Baranowski; Irvinder Kaur; Wanyi Huang; JoAnne Leonard; Hae-Mi Jeong; Kwang-Hwyi Im; Tom Estelle; Charlotte Cutler; Gerd Pohlers; Wenyan Yin; Patricia Fallon; Mingqi Li; Hyun K. Jeon; Cheng Bai Xu; Pete Trefonas

As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.


Advances in Patterning Materials and Processes XXXV | 2018

Chemical trimming overcoat: an advanced composition and process for photoresist enhancement in lithography

Xisen Hou; Cong Liu; Kevin Rowell; Irvinder Kaur; Mingqi Li; Paul Baranowski; Jong Park; Cheng Bai Xu

In the semiconductor manufacturing industry, photoresist materials are used for transferring an image to one or more underlying layers. To increase the integration density of semiconductor devices and reduce cost of ownership, continuous development efforts towards advanced lithography processes, such as multiple patterning methods, have been devoted to reduce critical dimension. Multiple patterning processes, however, often encounter challenges to obtain an appreciable process window due to the poor aerial image contrast at the defocus region, not to mention the complexity in process and high cost. Herein, we report a novel CTOTM photoresist trimming solution as a post-lithography spin-on method to enhance photoresist performance in not only effectively reducing critical dimension, but also enabling larger process window, lower line width roughness, less scum and lower defectivity. This is a versatile process that is compatible with both acrylic and polyhydroxystyrene types of photoresists, therefore allowing it to become a general process for a wide range of applications across ArF, KrF and EUV lithography.


Proceedings of SPIE | 2015

Top-coatless 193nm positive-tone development immersion resist for logic application

Lian Cong Liu; Tsung Ju Yeh; Yeh-Sheng Lin; Yu Chin Huang; Chien Wen Kuo; Wen Liang Huang; Chia Hung Lin; Chun Chi Yu; Ray Hsu; I-Yuan Wan; Jeff Lin; Kwang-Hwyi Im; Hae Jin Lim; Hyun K. Jeon; Yasuhiro Suzuki; Cheng Bai Xu

In this paper, we summarize our development efforts for a top-coatless 193nm immersion positive tone development (PTD) contact hole (C/H) resist with improved litho and defect performances for logic application specifically with an advance node. The ultimate performance goal was to improve the depth of focus (DoF) margin, mask error enhancement factor (MEEF), critical dimension uniformity (CDU), contact edge roughness (CER), and defect performance. Also, the through pitch CD difference was supposed to be comparable to the previous control resist. Effects of polymer and PAG properties have been evaluated for this purpose. The material properties focused in the evaluation study were polymer activation energy (Ea), polymer solubility differentiated by polymerization process types, and diffusion length (DL) and acidity (pKa) of photoacid generator (PAG). Additionally, the impact of post exposure bake (PEB) temperature was investigated for process condition optimization. As a result of this study, a new resist formulation to satisfy all litho and defect performance was developed and production yield was further improved.


Proceedings of SPIE | 2009

Advanced Immersion Contact Hole Patterning for sub 40nm Memory Applications - A Fundamental Resist Study

Yun-Kyeong Jang; Jin-Young Yoon; Shi-yong Lee; Kwang-sub Yoon; Seok-Hwan Oh; Seong-Woon Choi; Woo-Sung Han; Seokho Kang; Thomas Penniman; Duk-Soo Kim; Dong Won Chung; Sung-Seo Cho; Cheng Bai Xu; George G. Barclay

In this paper we investigate fundamental resist properties to enhance resolution and focus margin for immersion contact hole patterning. Basic chemistry factors have been used to manipulate the iso-focal region (the region of smallest critical dimension variation through focus) of the photoresist and study the impact on resolution and focus margin for small isolated contact holes. Acid diffusion length is one of the key factors investigated, which can be controlled by polymer, PAG, quencher, bake temperature and bake time. The various criteria investigated for this study were: focus and exposure latitude for dense L/S, dense C/H and semi-dense C/H. The effect of manipulating the acid diffusion of the photoresist on imaging small contact holes was verified using ultra-high NA immersion imaging at 1.35 NA.


ISTC/CSTIC 2009 (CISTC) | 2009

Novel Embedded Barrier Layer Materials for ArF Non-topcoat Immersion Applications

Deyan Wang; Chunyi J. Wu; Cheng Bai Xu; George G. Barclay; Peter Trefonas; Min Xu

With the decrease in pitch in the line/space pa tterning, micro-bridge defects have become the major defect in the immersion applications. As a re sult, reducing micro-bridge defect count is one of the key tasks for mass production of semiconducto r devices using immersion lithography for both topcoat and non-topcoat processes. In this paper, we focus on the non-topcoat approach particularly the embedded barrier layer (EBL) technology


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Novel embedded barrier layer materials for ArF non-topcoat immersion applications

Deyan Wang; Chunyi Wu; Cheng Bai Xu; George G. Barclay; Peter Trefonas; Shuji Dinglee

With the decrease in pitch in the line/space patterning, micro-bridge defects have become the major defect in the immersion applications. As a result, reducing micro-bridge defect count is one of the key tasks for mass production of semiconductor devices using immersion lithography for both topcoat and non-topcoat processes. In this paper, we focus on the non-topcoat approach particularly the embedded barrier layer (EBL) technology. The advanced EBL materials discussed in this paper have demonstrated to be able to reduce total defect including micro-bridge defect count to the same level as that of a topcoat process. It was found that the developer solubility of the EBL materials in both bright and dark fields and the contrast of the EBL materials play important roles for reducing overall defectivity.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Process development and resist modification for metal trench layers from 65nm to 45nm nodes

Steven Wu; Sho-Shen Lee; Chun-Chi Yu; Benjamin Lin; Cheng Bai Xu; Yasuhiro Suzuki; Stewart Robertson; Tsutomu Tanaka; I-Yuan Wan

A combination of simulation, resist modification and process optimization were used to develop production worthy dry 193nm lithography processes, suitable for the metal trench layers of 65nm node logic devices. The important performance characteristics of a back-end metal trench layer are through-pitch proximity bias, lithographic latitude and ultimate resolution. Simulation results suggested that a moderate annular illumination setting balances proximity bias against resolution at the forbidden pitch, yielding a good overall through-pitch common process window. Resist material optimization through resin, PAG (photo-acid generator) and base quencher modification improves proximity bias and results in excellent lithographic performances of good LER (line edge roughness), low MEF (Mask Error Factor) and wider process latitude. To investigate extendibility to 45nm node applications, the immersion compatibility of the optimized resist with several top coats are reported.


Journal of Photopolymer Science and Technology | 2007

Design Consideration for Immersion 193: Embedded Barrier Layer and Pattern Collapse Margin

Deyan Wang; Stefan Caporale; Cecily Audes; Kap-Soo Cheon; Cheng Bai Xu; Peter Trefonas; George G. Barclay

Collaboration


Dive into the Cheng Bai Xu's collaboration.

Top Co-Authors

Avatar

Cong Liu

Dow Chemical Company

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge