Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Deyan Wang is active.

Publication


Featured researches published by Deyan Wang.


Proceedings of SPIE | 2008

Non-topcoat resist design for immersion process at 32-nm node

Steven Wu; Aroma Tseng; Bill Lin; Chun Chi Yu; Bo-Jou Lu; Wen-Shiang Liao; Deyan Wang; Vaishali Vohra; Cheng Bai Xu; Stefan Caporale; George G. Barclay

At the 32nm node, the most important issue for mass production in immersion lithography is defectivity control. Many methods have been studied to reduce post-exposure immersion defects. Although a topcoat process demonstrates good immersion defect prevention, a topcoat-less resist process is an attractive candidate for immersion lithography due to cost reduction from a simplified process. In this paper we took the innovative approach of chemically designing an internal self-assembling barrier material that creates a thin embedded layer which functions as a topcoat. Data will be presented on this novel self assembly concept, illustrating the control of leaching, contact angle and immersion defects. Several optimized process flows with non-topcoat resists were also studied to decrease the amount of immersion defects. This study was used to verify the capability of a topcoat-less immersion process to achieve the low-defectivity levels required for 32nm node production.


Proceedings of SPIE | 2015

Development of spin-on metal hardmask (SOMHM) for advanced node

Shintaro Yamada; Deyan Wang; Vivian P. W. Chuang; Cong Liu; Sabrina Wong; Michael B. Clark; Charlotte Cutler; William H. Williams; Paul Baranowski; Mingqi Li; Joe Mattia; JoAnne Leonard; Peter Trefonas; Kathleen O’Connell; Cheng Bai Xu

With the continuous demand for higher performance of computer chips and memories, device patterns and structures are becoming smaller and more complicated. Hard mask processes have been implemented in various steps in the devise manufacturing, and requirements for those materials are versatile. In this paper, novel organometal materials are presented as a new class of spin on solution in order to support the hard mask process. Type of metals, formulation scheme and processing conditions were carefully designed to meet the fundamental requirements as a spin on solution, and their characteristic properties were investigated in comparison to other conventional films such as spin on carbons (SOC), organic bottom anti-reflective coatings (oBARC) and inorganic films formed by chemical vapor deposition (CVD). Several advantages were identified with these SOMHM materials over other films which include 1) better thermal stability than SOC once fully cured, 2) reworkable with industry standard wet chemistry such as SC-1 where conventional Si-BARC is difficult to remove, 3) a wide range of optical constants to suppress reflection for photoresist imaging, 4) high etch resistance and 5) better gap filling property. Curing conditions showed a significant impact on the performance of SOMHM films, and X-ray photoelectron spectroscopy (XPS) was utilized to elucidate the trends. With SOMHM film as a BARC, photolithographic imaging was demonstrated under ArF immersion conditions with 40nm linewidth patterning.


Proceedings of SPIE | 2012

Blob Defect Prevention in 193nm Topcoat-free Immersion Lithography

Deyan Wang; Jinrong Liu; Doris Kang; Cong Liu; Tom Estelle; Cheng-Bai Xu; George G. Barclay; Peter Trefonas

In 193nm immersion lithography, immersion top coat was the first proposed technique for preventing the leaching of photoresist (resist) components, such as photoacid generator (PAG) and quencher base, into the immersion fluid (DI water). In this approach, the top coat is coated onto a resist film in a separate step including coating the top coat film and baking the film. This approach certainly adds extra cost to the device manufacturing and incurs reduced throughput as compared to the dry lithography process. The embedded barrier layer (EBL) technology1-5 developed at Dow Electronic Materials has been demonstrated to be a revolutionary approach, in which a suitable EBL material is formulated into an existing resist, and in a spin coating process the EBL material comes to the resist surface to forms a leaching barrier in situ. This approach has now been widely accepted and implemented in the integrated circuit manufacturing industry for replacing the conventional immersion top coat process. In addition to being an excellent leaching barrier, EBL materials, in general, result in a resist surface with a high receding angle for water. This property makes the EBL approach more desirable in topcoat free immersion lithography, since it allow for the latest scanners to perform at their maximum scan speed without generating watermark defects. For immersion lithography, the most important issue for mass production is defectivity control. This is true for both top coat and topcoat free approaches. In the top coat approach, the formulation optimization for both top coat and resists was extensively involved for this technique finally to reach an acceptable defectivity level for mass production of semiconductor devices. As a later developed technology, the EBL approach has gone through a series of research and development stages particularly in material innovation to reach the same low defectivity level as that of an immersion top coat process. After achieving the target of low defectivity in lithography, the challenges left to the EBL approach were to solve high defectivity in bulk exposed and bulk unexposed regions, which became prominent in both bright field and dark field lithographic applications. To solve the high defectivity issues, a thorough understanding of the blob defect formation mechanism was imperative. In this paper, the defect formation mechanism in both bulk exposed and unexposed regions is proposed, and this proposed mechanism is applicable not only to the EBL approach but also to the immersion top coat approach in general.


ACS Applied Materials & Interfaces | 2016

Transfer-Free Fabrication of Graphene Scaffolds on High-k Dielectrics from Metal–Organic Oligomers

Qingqing Pang; Deyan Wang; Xiuyan Wang; Shaoguang Feng; Michael Clark; Qiaowei Li

In situ fabrication of graphene scaffold-ZrO2 nanofilms is achieved by thermal annealing of Zr-based metal-organic oligomers on SiO2 substrates. The structural similarities of the aromatic moieties in the ligand (phenyl-, naphthyl-, anthryl-, and pyrenyl-) compared to graphene play a major role in the ordering of the graphene scaffolds obtained. The depth profiling analysis reveals ultrathin carbon-pure or carbon-rich surfaces of the graphene scaffold-ZrO2 nanofilms. The graphene scaffolds with ∼96.0% transmittance in the visible region and 4.8 nm in thickness can be grown with this non-chemical vapor deposition method. Furthermore, the heterogeneous graphene scaffold-ZrO2 nanofilms show a low sheet resistance of 17.0 kΩ per square, corresponding to electrical conductivity of 3197 S m(-1). The strategy provides a facile method to fabricate graphene scaffolds directly on high-k dielectrics without transferring process, paving the way for its application in fabricating electronic devices.


Proceedings of SPIE | 2013

High scan speed EBL containing contact hole resists with low defectivity

Tsung Ju Yeh; Lian Cong Liu; Yeh-Sheng Lin; Wei-Sheng Chen; Che-Yi Lin; Chia Hung Lin; Chun Chi Yu; Deyan Wang; Mingqi Li; Chunfeng Guo; Rick Hardy; Tom Estelle; Cheng-Bai Xu; George G. Barclay; Peter Trefonas; Kathleen M. O'Connell

In the previous paper we discussed the relationship between blob defect count and the receding angle of a resist surface after development with an alkaline developer solution. This paper summarizes additional test results from our continued efforts in developing next generation embedded barrier layer (EBL) materials that render a resist film with even higher receding angle to further facilitate high speed and high acceleration scanning. How to reach a higher receding angle without sacrificing a low post development receding angle is also discussed in this paper. The ability for an EBL material to switch from a high receding angle to a receding angle of lower than 20° upon development is considered a very important attribute of an EBL, which is the key to reduce blob defect count by ensuring good dynamic wetting of a resist surface to DI water during a post development rinsing step. Resist formulations with different receding angles were studied for lithography performance and defectivity under different process conditions with varying wet processes. Both good lithography performance and low defectivity were obtained for contact hole resists including those with a surface receding angle of 78°.


ISTC/CSTIC 2009 (CISTC) | 2009

Novel Embedded Barrier Layer Materials for ArF Non-topcoat Immersion Applications

Deyan Wang; Chunyi J. Wu; Cheng Bai Xu; George G. Barclay; Peter Trefonas; Min Xu

With the decrease in pitch in the line/space pa tterning, micro-bridge defects have become the major defect in the immersion applications. As a re sult, reducing micro-bridge defect count is one of the key tasks for mass production of semiconducto r devices using immersion lithography for both topcoat and non-topcoat processes. In this paper, we focus on the non-topcoat approach particularly the embedded barrier layer (EBL) technology


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Novel embedded barrier layer materials for ArF non-topcoat immersion applications

Deyan Wang; Chunyi Wu; Cheng Bai Xu; George G. Barclay; Peter Trefonas; Shuji Dinglee

With the decrease in pitch in the line/space patterning, micro-bridge defects have become the major defect in the immersion applications. As a result, reducing micro-bridge defect count is one of the key tasks for mass production of semiconductor devices using immersion lithography for both topcoat and non-topcoat processes. In this paper, we focus on the non-topcoat approach particularly the embedded barrier layer (EBL) technology. The advanced EBL materials discussed in this paper have demonstrated to be able to reduce total defect including micro-bridge defect count to the same level as that of a topcoat process. It was found that the developer solubility of the EBL materials in both bright and dark fields and the contrast of the EBL materials play important roles for reducing overall defectivity.


Archive | 2007

Coating compositions for photoresists

Deyan Wang; Peter Trefonas; Michael K. Gallagher


Archive | 2011

Compositions comprising base-reactive component and processes for photolithography

Deyan Wang; Cong Liu; Mingqi Li; Joon Seok Oh; Cheng-Bai Xu; Doris Kang; Clark H. Cummins; Matthias S. Ober


Archive | 2014

Orientation control layer polymers, methods of manufacture thereof and articles comprising the same

Peter Trefonas; Deyan Wang; Rahul Sharma; Phillip D. Hustad; Mingqi Li

Collaboration


Dive into the Deyan Wang's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Cong Liu

Dow Chemical Company

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge