Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Cheng-Tsung Lee is active.

Publication


Featured researches published by Cheng-Tsung Lee.


Journal of Materials Chemistry | 2006

Novel polymeric anionic photoacid generators (PAGs) and corresponding polymers for 193 nm lithography

Mingxing Wang; Nathan D. Jarnagin; Cheng-Tsung Lee; Clifford L. Henderson; Wang Yueh; Jeanette M. Roberts; Kenneth E. Gonsalves

A series of new anionic PAGs, as well as PAG-bound polymers designed for use in 193 nm photoresist materials, have been synthesized and characterized. These novel materials provide optical transparency at 193 nm and also good etch resistance. PAG incorporated resists and PAG blended resists were exposed at a wavelength of 193 nm using an ASML 5500/950B optical lithography system with 0.63 NA. Exposed wafers were evaluated using SEM. The fluorine substituted PAG bound polymer and PAG blend resist provided a 110 nm (220 nm pitch) line/space at 11.5, 13.0 mJ cm−2, and 80 nm isolated features at 3 and 1 mJ cm−2, respectively. The LER (3σ) results showed that the fluorinated PAG bound polymer has LER values of 6.7 and 6.8 nm for isolated 80 nm and dense 110 nm lines, respectively, while the fluorinated PAG blend resist has LER values of 8.6 and 8.9 nm. The improvement may be due to the direct bonding of PAG into the polymer main-chain, which provides a more uniform distribution, thereby controlling acid diffusion and allowing a higher loading of PAG than the blend sample. The fluorine-free PAG bound or blend resists showed lower photospeed compared to photoresists based on fluorine-substituted PAGs.


Journal of Vacuum Science & Technology B | 2007

Influence of solubility switching mechanism on resist performance in molecular glass resists

Richard A. Lawson; Cheng-Tsung Lee; Clifford L. Henderson; Robert Whetsell; Laren M. Tolbert; Wang Yueh

Five different molecular glass chemically amplified photoresists which utilized different solubility switching mechanisms and chemistries, based on a tris(4-hydroxyphenyl)ethane (THPE) core, were synthesized and their performance compared. Three different positive tone systems were designed based on acid catalyzed deprotection of a phenolic hydroxyl group protected with one of the following groups: tert-butoxycarbonyl (tBoc), tetrahydropyranyl, or ethoxyethyl. Two negative tone systems were designed; one using cationic epoxide polymerization of pendant epoxides and one using condensation of the unprotected THPE with a multifunctional cross-linking additive. The tBoc system and negative tone systems showed good performance under deep UV and large field e-beam patterning, but the epoxide system showed far superior performance for high resolution electron beam patterning. It was able to produce 50nm 1:1 line/space patterns and 30nm lines on 1:3 line/space patterns with high sensitivity, good contrast, and a ...


Proceedings of SPIE | 2007

Novel anionic photoacid generator (PAGs) and photoresist for sub-50-nm patterning by EUVL and EBL

Mingxing Wang; Cheng-Tsung Lee; Clifford L. Henderson; Wang Yueh; Jeanette M. Roberts; Kenneth E. Gonsalves

A new series of anionic photoacid generators (PAGs), and corresponding polymers were prepared. The thermostability of PAG bound polymers was superior to PAG blend polymers. PAG incorporated into the polymer main chain showed improved resolution when compared with the PAG blend polymers. This was demonstrated by Extreme Ultraviolet lithography (EUVL) results: the fluorine PAG bound polymer resist gave 45 nm (1:1), 35 nm (1:2), 30 nm (1:3) and 20 nm (1:4) Line/Space as well as the 50 nm (1:1),30 nm (1:2) elbow patterns.


Journal of Materials Chemistry | 2009

Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography

Kenneth E. Gonsalves; Mingxing Wang; Cheng-Tsung Lee; Wang Yueh; Melina Tapia-Tapia; Nikola Batina; Clifford L. Henderson

A series of chemically amplified resists based on polymers of 4-hydroxystyrene, 2-ethyl-2-adamantyl methacrylate and a monomer-bound anionic photoacid generator (PAG) were prepared and characterized. Specifically, the following PAGs were separately incorporated into the main-chain of the polymers: the isomers triphenylsulfonium salt 2-(methacryloxy)-4-trifluoromethyl benzenesulfonate and triphenylsulfonium salt 4-(methacryloxy)-2-trifluoromethyl benzenesulfonate (CF3 PAG); triphenylsulfonium salt 4-(methacryloxy)-3-nitro-benzenesulfonate (NO2 PAG); and triphenylsulfonium salt of 1,1,2-trifluorobutanesulfonate methacrylate (MTFB PAG). Triphenylsulfonium salt 4-(methacryloxy)-2,3,5,6-tetrafluorobenzenesulfonate (F4 PAG) was used as the reference PAG. The intrinsic lithography performance of these polymer-bound PAG resists showed sub-50-nm half-pitch resolution and CF3 PAG > NO2 PAG. Resolved pattern sizes of 40 and 32.5 nm half-pitch were obtained for fluorinated PAGs (such as MTFB PAG and F4 PAG) bound polymer resists under EUV interference lithography. The surface roughness was inspected with AFM.


Proceedings of SPIE | 2008

Synthesis and Properties of New Anionic Photoacid Generators Bound Polymer Resists for e-beam and EUV lithography

Mingxing Wang; Cheng-Tsung Lee; Clifford L. Henderson; Wang Yueh; Jeanette M. Roberts; Kenneth E. Gonsalves

A new series of methacrylate substituted benzene sulfonic photoacid generators (PAGs) and a perfluoro alkanesulfonic PAG, bound polymeric resists based on hydroxystyrene (HS) and 2-ethyl-2-adamantyl methacrylate (EA) were prepared and characterized. The acid yield of these PAG bound polymer resists was among the range of 54-81% under deep ultraviolet exposure (254 nm) that agrees well with the electron withdrawing effect of the substituents on the PAG anion for enhancing acid generation efficiency. The intrinsic lithography performance of these polymer-bound PAG resists showed sub-50 nm half-pitch resolution and < 5 nm LER (3σ).


Journal of Vacuum Science & Technology B | 2007

Effects of photoacid generator incorporation into the polymer main chain on 193nm chemically amplified resist behavior and lithographic performance

Cheng-Tsung Lee; Clifford L. Henderson; Mingxing Wang; Kenneth E. Gonsalves; Wang Yueh

The need for chemically amplified resists (CARs) that can resolve sub-65‐nm node features with sufficient linewidth roughness (LWR) control and sensitivity to meet the requirements outlined in the International Technology Roadmap for Semiconductors has placed a significant and daunting challenge for the design of resist materials that can achieve these goals. In this article, the ability to improve the performance of CARs designed for 193nm lithography via the direct bonding of a photoacid generator (PAG) anion into the resist polymer main chain has been investigated. The bound-PAG anion resist is shown to achieve higher sensitivity and resolution with smaller LWR than their blended-PAG resist analog. Binding of the PAG anion provides reduced photoacid diffusivity, higher maximum PAG loadings, and more homogeneous PAG distributions that help achieve these three critical resist requirements.


Proceedings of SPIE | 2008

Single molecule chemically amplified resists based on ionic and non-ionic PAGs

Richard A. Lawson; Cheng-Tsung Lee; Wang Yueh; Laren M. Tolbert; Clifford L. Henderson

An ionic and non-ionic single molecule chemically amplified resist have been synthesized, characterized, and imaged under high resolution e-beam. The ionic single molecule resist is based on a tBoc protected triarylsulfonium (TAS) core that generates a free superacid upon exposure. TAS demonstrates a low LER (3&sgr;) of 3.9 nm under 100 keV e-beam exposures with a resolution of 55 nm. Under EUV exposures, it exhibits a LER of 5.2 nm with a sensitivity of 60 mJ/cm2 and 50 nm half-pitch resolution. The non-ionic single molecule resist is based on a sulfonic acid containing molecular glass core that uses a norbornene dicarboximide PAG. It images with a low LER of 3.9 nm and a resolution of 40 nm. The non-ionic resist shows multiple improvements over the ionic system including improved resolution, reduced dark loss, and improved solubility. Both resists successfully demonstrate the feasibility of using a single component molecular resist system with high PAG loading. They also show that single molecule resists provide improved LER compared to conventional PAG blended systems, even for unoptimized systems.


Journal of Materials Chemistry | 2008

Incorporation of ionic photoacid generator (PAG) and base quencher into the resist polymer main chain for sub-50 nm resolution patterning

Mingxing Wang; Cheng-Tsung Lee; Clifford L. Henderson; Wang Yueh; Jeanette M. Roberts; Kenneth E. Gonsalves

Previous research has shown that polymer-bound PAG resists exhibit improved lithographic performance in both 193 nm and extreme ultraviolet lithography (EUVL) applications as compared to their more traditional blended PAG analogs. The further incorporation of base directly into the resist polymer backbone is a potential route for improving the resist performance and processing window. In this work, a new series of chemically amplified resists (CARs) that incorporate both PAG and base quencher functional groups into the polymer main chain are reported. The lithographic performance of these materials was investigated using electron-beam lithography (EBL). The polymer-bound PAG cation resist showed higher photospeed than the polymer-bound PAG anion resists. Base quencher incorporation slightly decreased the photospeed but improved the resolution in the case of resists with the polymer-bound PAG cation and pyridine base. Base quencher incorporation showed no improvement on the lithographic performance of polymer-bound PAG anion resists.


Proceedings of SPIE | 2007

Molecular glass photoresists containing photoacid generator functionality: a route to a single-molecule photoresist

Richard A. Lawson; Cheng-Tsung Lee; Robert Whetsell; Wang Yueh; Jeanette M. Roberts; Laren M. Tolbert; Clifford L. Henderson

A single molecule photoresist composed of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl) sulfonium hexafluoroantimonate (TAS-tBoc) was successfully synthesized and characterized. The synthesized triarylsulfonium was found to perform comparably to a commercial triphenylsulfonium triflate photoacid generator (PAG) when used purely as a PAG in blended molecular glass resist. TAS-tBoc formed excellent amorphous films when spin-coated out of solution. When exposed to 248 nm UV radiation, TAS-tBoc showed a sensitivity of 4 mJ/cm2 and a contrast ratio between 6 and 15, depending on development conditions. Its etch rate under standard silicon dioxide etch conditions was 0.87 as standardized to that of tBoc-PHOST in the same RIE plasma conditions. The outgassing level of the resist under EUV exposure was determined to be 1.08 x 1013 molecules/cm2, well below the maximum outgassing cutoff that is considered acceptable for EUV imaging. When imaged by e-beam, TAS-tBoc showed a relatively high dose-to-clear of 150 &mgr;C/cm2 as compared to conventional chemically amplified photoresists. Lines down to 50 nm wide with aspect ratios of 2.5:1 were imaged using e-beam. These lines exhibited an LER of only 3.96 nm, significantly better than the typical LER for polymeric chemically amplified resist, even when imaged using e-beam, and also one of the lowest values reported for molecular glass materials in general.


Proceedings of SPIE | 2009

Understanding pattern collapse in high-resolution lithography: impact of feature width on critical stress

David E. Noga; Richard A. Lawson; Cheng-Tsung Lee; Laren M. Tolbert; Clifford L. Henderson

Chemically amplified resists have served as high resolution and high photospeed patterning materials in the fabrication of modern microelectronic devices for more than two decades. A significant amount of research during that time, and in particular more recently, has focused on minimizing line width roughness and on improving the achievable resolution and sensitivity of resist materials. While these lithographic parameters are certainly important, the distortion of the resist pattern during wet processing and subsequent drying can have significant negative impacts on performance and is often relatively overlooked as a major resist resolution and performance limiter. Resist pattern distortion after development and during drying is mainly due to the unbalanced capillary forces created due to pattern asymmetries which give rise to variations in liquid meniscus radii of curvature as the final rinse liquid is dried from the pattern. These capillary forces are dependent upon the surface tension of the final rinsing solvent, the contact angle of the rinse liquid with the side wall of the resist line, and the pattern space widths and sidewall angles. The demand for resist films with smaller feature sizes has led to a reduction in resist pattern dimensions resulting in overall poor mechanical strength and a decrease in the adhesion forces at the resist line/substrate interface. In this work, the pattern collapse behavior of a hydroxystyrene-based resist copolymer is studied. Ultra-thin film effects and the role of the feature width of the resist line on pattern collapse are also investigated.

Collaboration


Dive into the Cheng-Tsung Lee's collaboration.

Top Co-Authors

Avatar

Clifford L. Henderson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Mingxing Wang

University of North Carolina at Chapel Hill

View shared research outputs
Top Co-Authors

Avatar

Richard A. Lawson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Laren M. Tolbert

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Nathan D. Jarnagin

University of North Carolina at Charlotte

View shared research outputs
Researchain Logo
Decentralizing Knowledge