Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Richard A. Lawson is active.

Publication


Featured researches published by Richard A. Lawson.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Comparison of positive tone versus negative tone resist pattern collapse behaviora)

Wei-Ming Yeh; David E. Noga; Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

In this work, e-beam lithography patterns have been specifically designed and fabricated which provide the opportunity to probe the collapse behavior of both positive and negative tone systems. The pattern layout includes adjacent parallel line structures that both vary in the line size and also in the distance by which they are separated by the space between them. This type of structure allows for the control and modulation of the capillary forces, and ultimately the stresses, experienced by the photoresist line pairs during the final rinse and drying steps of the development process. Using such structures, it is possible to determine the critical stress, i.e., the maximum stress experienced by the photoresist lines before collapse, as a function of a variety of parameters including: material type, substrate preparation conditions, resist film thickness, and resist feature width. In this article, such a modular approach has been used to compare the pattern collapse behavior of a prototypical positive ton...


Journal of Vacuum Science & Technology B | 2007

Influence of solubility switching mechanism on resist performance in molecular glass resists

Richard A. Lawson; Cheng-Tsung Lee; Clifford L. Henderson; Robert Whetsell; Laren M. Tolbert; Wang Yueh

Five different molecular glass chemically amplified photoresists which utilized different solubility switching mechanisms and chemistries, based on a tris(4-hydroxyphenyl)ethane (THPE) core, were synthesized and their performance compared. Three different positive tone systems were designed based on acid catalyzed deprotection of a phenolic hydroxyl group protected with one of the following groups: tert-butoxycarbonyl (tBoc), tetrahydropyranyl, or ethoxyethyl. Two negative tone systems were designed; one using cationic epoxide polymerization of pendant epoxides and one using condensation of the unprotected THPE with a multifunctional cross-linking additive. The tBoc system and negative tone systems showed good performance under deep UV and large field e-beam patterning, but the epoxide system showed far superior performance for high resolution electron beam patterning. It was able to produce 50nm 1:1 line/space patterns and 30nm lines on 1:3 line/space patterns with high sensitivity, good contrast, and a ...


Proceedings of SPIE | 2011

Developing directly photodefinable substrate guiding layers for block copolymer directed self-assembly (DSA) patterning

Jing Cheng; Richard A. Lawson; Wei-Ming Yeh; Laren M. Tolbert; Clifford L. Henderson

Directed self-assembly (DSA) of block copolymers has gained significant attention in recent years as a possible alternative for large area fabrication of future sub-30 nm lithographic patterns. To achieve this patterning, at least three critical pieces are needed: (1) a block copolymer with sufficient immiscibility of the two blocks to drive phase separation at the low molecular weights required to achieve such small phase domains, (2) a method for selectively removing one of the blocks after phase separation to achieve formation of a relief pattern, and (3) a method for producing the templated surfaces used to guide and register the phase separated patterns on the substrate of interest. Current methods for achieving the patterned substrate template, whether they are of chemoepitaxial or graphoepitaxial nature, are generally complex involving a large number of steps that are not easily applied to a variety of different substrate surfaces. For example, numerous substrates have been studied to provide neutral wettability to the styrene-methacrylate (PS-b- PMMA) block copolymers, such as random styrene-methacrylate copolymer films (PS-r-PMMA) or self-assembled monolayer (SAM) modified surfaces, which induce perpendicularly oriented morphologies for PS-b-PMMA self-assembly. In the case of chemical epitaxy processes, a layer of photoresist is generally then coated on such neutral substrate films and patterned to render commensurability to the periodicity of the PS-b-PMMA being used. The open (i.e. space) regions in the resist are then exposed to alter their chemistry, e.g. soft X-ray or oxygen plasma exposures have been used, to achieve hydrophilicity which should preferentially wet PMMA. Finally, the resist is stripped and the block copolymer is coated and assembled on the template surface. Obviously such multi-step processes would not be preferred if alternatives existed. As a step toward that goal of making DSA processes simpler, a photodefinable substrate film that can be used for PS-b-PMMA self-assembly has been developed in this work that is coated, exposed, and baked in a manner analogous to current optical photoresists. The polymer resin for this material is a form of protected poly(hydroxystyrene) that is cross-linkable. The new material is suitable for DSA processes using both solvent and thermal annealing methods. Results of PS-b-PMMA DSA on this new material will be discussed.


Proceedings of SPIE | 2009

Negative-tone molecular resists based on cationic polymerization

Richard A. Lawson; Laren M. Tolbert; Todd R. Younkin; Clifford L. Henderson

There is increasing demand for higher performance resists with superior resolution, sensitivity, and line edge roughness for both electron beam and extreme ultraviolet lithography applications. A new class of negative tone chemically amplified molecular resists has been developed based on epoxide cross-linking that combines high sensitivity with low line edge roughness and excellent resolution. Three different resists from this class have been made that all show superior performance compared to SU-8 in high resolution dense patterns. The functionality and size of the resist molecules were systematically changed to investigate these effects on imaging performance under e-beam lithography. The di-functional epoxy resist, 2-Ep, had < 25 nm half-pitch resolution in dense 1:1 line-space patterns, sensitivity of 38 μC/cm2, and low 3σ LER of 2.9 nm for 30 nm half-pitch. The tri-functional epoxy resist, 3-Ep, showed 30 nm resolution in dense features, 3σ LER of 2.3 nm, and a sensitivity of 20 μC/cm2. The tetra-functional epoxy resist, 4-Ep, likewise showed good resolution of 35 nm half-pitch in dense features, sensitivity of 22 μC/cm2, and a low 3σ LER of 2.3 nm. This class of negative tone resist compounds are able to obtain an excellent combination of resolution, LER, and sensitivity, and show promise as high performance resists for next generation lithography.


Proceedings of SPIE | 2009

Single component molecular resists containing bound photoacid generator functionality

Richard A. Lawson; Laren M. Tolbert; Clifford L. Henderson

A series of single component molecular resists were designed, synthesized, characterized, and patterned using 100 keV e-beam lithography. An onium salt PAG based single component system (referred to here as TAS) which creates a free photoacid upon exposure is shown to produce a low line edge roughness (LER) of 3.9 nm (3σ), but was limited in resolution due to photoacid diffusion. A single component molecular resist with a covalently bound non-ionic photoacid generator (referred to here as NBB), i.e. one in which the photoacid anion is bound to the resist core, was found to exhibit an improved resolution of 40 nm due to reduced photoacid diffusion while maintaining a good LER and line width roughness (LWR) of 3.9 nm and 5.6 nm, respectively. Despite the small size of NBB, it was found to exhibit a glass transition temperature of 82°C. It also showed good adhesion, formed high quality films, and showed no dark erosion during development. These compounds demonstrate that it is possible to form single component molecular resists using both ionic and non-ionic photoacid generators and that such small molecule resists can provide all the basic requirements to serve as functional chemically amplified resists.


Proceedings of SPIE | 2008

Single molecule chemically amplified resists based on ionic and non-ionic PAGs

Richard A. Lawson; Cheng-Tsung Lee; Wang Yueh; Laren M. Tolbert; Clifford L. Henderson

An ionic and non-ionic single molecule chemically amplified resist have been synthesized, characterized, and imaged under high resolution e-beam. The ionic single molecule resist is based on a tBoc protected triarylsulfonium (TAS) core that generates a free superacid upon exposure. TAS demonstrates a low LER (3&sgr;) of 3.9 nm under 100 keV e-beam exposures with a resolution of 55 nm. Under EUV exposures, it exhibits a LER of 5.2 nm with a sensitivity of 60 mJ/cm2 and 50 nm half-pitch resolution. The non-ionic single molecule resist is based on a sulfonic acid containing molecular glass core that uses a norbornene dicarboximide PAG. It images with a low LER of 3.9 nm and a resolution of 40 nm. The non-ionic resist shows multiple improvements over the ionic system including improved resolution, reduced dark loss, and improved solubility. Both resists successfully demonstrate the feasibility of using a single component molecular resist system with high PAG loading. They also show that single molecule resists provide improved LER compared to conventional PAG blended systems, even for unoptimized systems.


Proceedings of SPIE | 2013

Effects of block copolymer polydispersity and χN on pattern line edge roughness and line width roughness from directed self-assembly of diblock copolymers

Andrew J. Peters; Richard A. Lawson; Peter J. Ludovice; Clifford L. Henderson

This paper addresses two fundamental issues: (1) the connection between block copolymer polydispersity (as measured by a polydisperisty index (PDI)) and pattern LER/ LWR limits and (2) the connection between block copolymer χN value and pattern LER/LWR limits. In this work, we have used coarse grained molecular dynamics (MD) simulations of BCP DSA to study the effect of block copolymer PDI on DSA properties including LER/LWR and patterning capability. It is observed that as PDI increases from 1 to values of ~1.3, there is little effect on pattern LER/LWR, and as PDI increases above ~1.3 the LER/LWR increases slowly with increasing PDI. This suggests that LER/LWR concerns are not a major determinant in terms of specifying block copolymer PDI requirements for DSA processes. Concerning χN and LER/LWR, there is a sharp increase in roughness for χN<30. Because of the sharp increase at such low χN values, it is unlikely that BCP DSA processes for semiconductor manufacturing will be able to operate at low χN values even though microphase separation still occurs at these low χN values.


Journal of Vacuum Science & Technology B | 2013

Detailed molecular dynamics studies of block copolymer directed self-assembly: Effect of guiding layer properties

Andrew J. Peters; Richard A. Lawson; Peter J. Ludovice; Clifford L. Henderson

Detailed molecular dynamics simulations have been performed to explore the effect of guiding layer properties and errors on resulting directed self-assembly pattern properties produced in block copolymer (BCP) thin films. Guiding patterns that are noncommensurate to the natural BCP pitch are considered, as are guiding lines that have correlated or anticorrelated line edge deviations. The process window is detailed for noncommensurate line widths. Guiding lines with various correlated and anticorrelated roughnesses show that under the high χ conditions used here, very significant guiding roughness is required to have any effect on the BCP film, and most of the guiding roughness is damped out within 5 nm of the bottom surface of the BCP film. Also, pitch subdivision patterns (where the BCP natural periodicity is some integer multiple smaller than the guiding pattern periodicity) damp out guiding line roughness more easily than pitch replicating patterns where a guiding pattern exists for each line formed in...


Journal of Vacuum Science & Technology B | 2009

Negative tone molecular resists using cationic polymerization: Comparison of epoxide and oxetane functional groups

Richard A. Lawson; David E. Noga; Todd R. Younkin; Laren M. Tolbert; Clifford L. Henderson

Two molecular resists with a common molecular glass core were synthesized and characterized to compare the differences between epoxide (oxirane) and oxetane functional groups for use in high resolution negative tone molecular resists. Both resists are able to obtain at least 50nm half-pitch at a sensitivity of 75μC∕cm2 under 100keV electron-beam lithography. Due to differences in the kinetics of the cationic polymerization of epoxides as compared to oxetanes, the epoxide functionalized resist (2-Ep) was able to obtain sub-25-nm half-pitch resolution with good line edge roughness (LER) of 2.9nm (3σ) while the oxetane resist (2-Ox) was limited to 50nm half-pitch resolution and exhibited higher LER (3σ) of 10.0nm. The polymerization of the oxetane functional group has slow initiation and fast propagation which leads to reduced performance in 2-Ox as compared to 2-Ep. While oxetane functionalized molecular resists can obtain reasonably good imaging performance, epoxide functional groups show more promise for ...


Proceedings of SPIE | 2007

Molecular glass photoresists containing photoacid generator functionality: a route to a single-molecule photoresist

Richard A. Lawson; Cheng-Tsung Lee; Robert Whetsell; Wang Yueh; Jeanette M. Roberts; Laren M. Tolbert; Clifford L. Henderson

A single molecule photoresist composed of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl) sulfonium hexafluoroantimonate (TAS-tBoc) was successfully synthesized and characterized. The synthesized triarylsulfonium was found to perform comparably to a commercial triphenylsulfonium triflate photoacid generator (PAG) when used purely as a PAG in blended molecular glass resist. TAS-tBoc formed excellent amorphous films when spin-coated out of solution. When exposed to 248 nm UV radiation, TAS-tBoc showed a sensitivity of 4 mJ/cm2 and a contrast ratio between 6 and 15, depending on development conditions. Its etch rate under standard silicon dioxide etch conditions was 0.87 as standardized to that of tBoc-PHOST in the same RIE plasma conditions. The outgassing level of the resist under EUV exposure was determined to be 1.08 x 1013 molecules/cm2, well below the maximum outgassing cutoff that is considered acceptable for EUV imaging. When imaged by e-beam, TAS-tBoc showed a relatively high dose-to-clear of 150 &mgr;C/cm2 as compared to conventional chemically amplified photoresists. Lines down to 50 nm wide with aspect ratios of 2.5:1 were imaged using e-beam. These lines exhibited an LER of only 3.96 nm, significantly better than the typical LER for polymeric chemically amplified resist, even when imaged using e-beam, and also one of the lowest values reported for molecular glass materials in general.

Collaboration


Dive into the Richard A. Lawson's collaboration.

Top Co-Authors

Avatar

Clifford L. Henderson

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Laren M. Tolbert

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Andrew J. Peters

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Peter J. Ludovice

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Wei-Ming Yeh

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Benjamin D. Nation

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Cheng-Tsung Lee

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

David E. Noga

Georgia Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Jing Cheng

Georgia Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge