Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chung-Wen Huang is active.

Publication


Featured researches published by Chung-Wen Huang.


ACM Transactions on Design Automation of Electronic Systems | 2007

Compilation for compact power-gating controls

Yi-Ping You; Chung-Wen Huang; Jenq Kuen Lee

Power leakage constitutes an increasing fraction of the total power consumption in modern semiconductor technologies due to the continuing size reductions and increasing speeds of transistors. Recent studies have attempted to reduce leakage power using integrated architecture and compiler power-gating mechanisms. This approach involves compilers inserting instructions into programs to shut down and wake up components, as appropriate. While early studies showed this approach to be effective, there are concerns about the large amount of power-control instructions being added to programs due to the increasing amount of components equipped with power-gating controls in SoC design platforms. In this article we present a sink-n-hoist framework for a compiler to generate balanced scheduling of power-gating instructions. Our solution attempts to merge several power-gating instructions into a single compound instruction, thereby reducing the amount of power-gating instructions issued. We performed experiments by incorporating our compiler analysis and scheduling policies into SUIF compiler tools and by simulating the energy consumption using Wattch toolkits. The experimental results demonstrate that our mechanisms are effective in reducing the amount of power-gating instructions while further reducing leakage power compared to previous methods.


embedded software | 2005

A sink-n-hoist framework for leakage power reduction

Yi-Ping You; Chung-Wen Huang; Jenq Kuen Lee

Power leakage constitutes an increasing fraction of the total power consumption in modern semiconductor technologies. Recent research efforts have tried to integrate architecture and compiler solutions to employ power-gating mechanisms to reduce leakage power. This approach is to have compilers perform data-flow analysis and insert instructions at programs to shut down and wake up components whenever appropriate for power reductions. While this approach has been shown to be effective in early studies, there are concerns for the amount of power-control instructions being added to programs with the increasing amount of components equipped with power-gating control in a SoC design platform. In this paper, we present a Sink-N-Hoist framework in the compiler solution to generate balanced scheduling of power-gating instructions. Our solution will attempt to merge power-gating instructions as one compound instruction. Therefore, it will reduce the amount of power-gating instructions issued.We perform experiments by incorporating our compiler analysis and scheduling policies into SUIF compiler tools and by simulating the energy consumptions on Wattch toolkits. The experimental results demonstrate that our mechanisms are effective in reducing the amount of power-gating instructions while further in reducing leakage power compared to previous methods.


international conference on hardware/software codesign and system synthesis | 2010

Power aware SID-based simulator for embedded multicore DSP subsystems

Cheng-Yen Lin; Po-Yu Chen; C. L. Tseng; Chung-Wen Huang; Chia-Chieh Weng; Chi-Bang Kuan; Shih-Han Lin; Shi-Yu Huang; Jenq Kuen Lee

The embedded multicore DSP systems are playing increasingly important role for consumer electronic design. Such systems try to optimize the objective for both performance and power with mobile devices. Embedded application developers will then devise designs to optimize embedded applications for not only performance but also power. However, currently there are no power metrics support for popular application design platforms such as QEMU and SID, where application developers develop their applications. This hinders application developers to help tune optimizations for power. In this paper, we propose a power aware simulation framework on embedded multicore DSP subsystems for SID framework. To the best of our knowledge, this is the first work to attempt to build a power aware simulator based on SID simulation framework. The power estimation flow includes two phases, IP level power modeling and system level power prower profiling. In the IP level power modeling, PowerMixerIP is employed to build up the power model for PAC DSP and major IPs. In the system level power profiling, we provide a power profiling hierarchy that meets the demand of embedded software developers. The granularity of power profiling can be configured to the whole simulation stage or any specific time slot in the simulation such as a dedicated function loop. In our experiments, DSP programs with SIMD intrinsics for DSPStone benchmark are examined with our proposed power aware simulator. In addition, a face detection application is deployed as a running example on multi-core DSP systems to show how our power simulator can be used to help collaborate with developers in the optimization process to illustrate views of power dissipations of applications.


ACM Transactions on Design Automation of Electronic Systems | 2014

Compiler Optimization for Reducing Leakage Power in Multithread BSP Programs

Wen-Li Shih; Yi-Ping You; Chung-Wen Huang; Jenq Kuen Lee

Multithread programming is widely adopted in novel embedded system applications due to its high performance and flexibility. This article addresses compiler optimization for reducing the power consumption of multithread programs. A traditional compiler employs energy management techniques that analyze component usage in control-flow graphs with a focus on single-thread programs. In this environment the leakage power can be controlled by inserting on and off instructions based on component usage information generated by flow equations. However, these methods cannot be directly extended to a multithread environment due to concurrent execution issues. This article presents a multithread power-gating framework composed of multithread power-gating analysis (MTPGA) and predicated power-gating (PPG) energy management mechanisms for reducing the leakage power when executing multithread programs on simultaneous multithreading (SMT) machines. Our multithread programming model is based on hierarchical bulk-synchronous parallel (BSP) models. Based on a multithread component analysis with dataflow equations, our MTPGA framework estimates the energy usage of multithread programs and inserts PPG operations as power controls for energy management. We performed experiments by incorporating our power optimization framework into SUIF compiler tools and by simulating the energy consumption with a post-estimated SMT simulator based on Wattch toolkits. The experimental results show that the total energy consumption of a system with PPG support and our power optimization method is reduced by an average of 10.09% for BSP programs relative to a system without a power-gating mechanism on leakage contribution set to 30%; and the total energy consumption is reduced by an average of 4.27% on leakage contribution set to 10%. The results demonstrate our mechanisms are effective in reducing the leakage energy of BSP multithread programs.


ieee international conference on high performance computing data and analytics | 2004

Power-Aware scheduling for parallel security processors with analytical models

Yung-Chia Lin; Yi-Ping You; Chung-Wen Huang; Jenq Kuen Lee; Wei-Kuan Shih; TingTing Hwang

Techniques to reduce power dissipation for embedded systems have recently come into sharp focus in the technology development. Among these techniques, dynamic voltage scaling (DVS), power gating (PG), and multiple-domain partitioning are regarded as effective schemes to reduce dynamic and static power. In this paper, we investigate the problem of power-aware scheduling tasks running on a scalable encryption processor, which is equipped with heterogeneous distributed SOC designs and needs the effective integration of the elements of DVS, PG, and the scheduling for correlations of multiple domain resources. We propose a novel heuristic that integrates the utilization of DVS and PG and increases the total energy-saving. Furthermore, we propose an analytic model approach to make an estimate about its performance and energy requirements between different components in systems. These proposed techniques are essential and needed to perform DVS and PG on multiple domain resources that are of correlations. Experiments are done in the prototypical environments for our security processors and the results show that significant energy reductions can be achieved by our algorithms.


Angewandte Makromolekulare Chemie | 1999

Viscosity and density measurements of macromolecules

Chung-Wen Huang; Shang-Da Huang; Y.C Yu; T. Y. Lee; M. D. Shau

A micro-differential capillary pressure system has been established to measure the density and viscosity of macromolecular solutions as well as regular chemical solutions. Easy handling, short operational time, accuracy, low cost, high sensitivity and adaptability for on-line control of the instrument are demonstrated by the measurement on poly(ethylene glycol)/water, poly(acrylic acid)/water, sugar/water, glycerol/water, and poly(methyl methacrylate)/tetrahydrofuran systems.


ACM Transactions on Design Automation of Electronic Systems | 2015

The Design and Experiments of A SID-Based Power-Aware Simulator for Embedded Multicore Systems

Cheng-Yen Lin; Chung-Wen Huang; Chi-Bang Kuan; Shi-Yu Huang; Jenq Kuen Lee

Embedded multicore systems are playing increasingly important roles in the design of consumer electronics. The objective of such systems is to optimize both performance and power characteristics of mobile devices. However, currently there are no power metrics supporting popular application design platforms (such as SID) that application developers use to develop their applications. This hinders the ability of application developers to optimize power consumption. In this article we present the design and experiments of a SID-based power-aware simulation framework for embedded multicore systems. The proposed power estimation flow includes two phases: IP-level power modeling and power-aware system simulation. The first phase employs PowerMixerIP to construct the power model for the processor IP and other major IPs, while the second phase involves a power abstract interpretation method for summarizing the simulation trace, then, with a CPE module, estimating the power consumption based on the summarized trace information and the input of IP power models. In addition, a Manager component is devised to map each digital signal processor (DSP) component to a host thread and maintain the access to shared resources. The aim is to maintain the simulation performance as the number of simulated DSP components increases. A power-profiling API is also supported that developers of embedded software can use to tune the granularity of power-profiling for a specific code section of the target application. We demonstrate via case studies and experiments how application developers can use our SID-based power simulator for optimizing the power consumption of their applications. We characterize the power consumption of DSP applications with the DSPstone benchmark and discuss how compiler optimization levels with SIMD intrinsics influence the performance and power consumption. A histogram application and an augmented-reality application based on human-face-based RMS (recognition, mining, and synthesis) application are deployed as running examples on multicore systems to demonstrate how our power simulator can be used by developers in the optimization process to illustrate different views of power dissipations of applications.


the 2009 Workshop | 2009

Configurable SID-based multi-core simulators for embedded system education

Chung-Wen Huang; Wei-Kuan Shih; Yarsun Hsu; Jenq Kuen Lee

With the emerging of multi-core designs for embedded systems, there is a need of multi-core simulation tools for courseware and class experiments. In this paper, we present a multi-core SID-based simulation framework useful for exercises and hands-on labs for embedded multi-core courses. The SID is a component-based simulation framework upon which a set of simulation components, such as processors, memory, DMAs, LCDs, and other peripherals are built. Our tool includes ingenious MPU IP, and PAC DSP IP with distributed register files. Each of the components is attached with an interconnection adaptor. The adaptor in our design enables the simulation to be done in the functional layer or in the TLM layer for the interconnection networks. Besides, the communication performance of the system can be evaluated in different types of interconnection networks. In addition, our tool supports profiling capability and time-reversible execution, which enables a rich set of experiments in teaching embedded multi-core courses. Finally, we also present a set of possible courses to be based on this set of tools.


WESE09, ESWEEK, Grenoble, France, October 15 | 2009

Configurable SIDbased Multi-core Simulators for Embedded System Education

Chung-Wen Huang; Wei-Kuan Shih; Yarsun Hsu; Jenq Kuen Lee

With the emerging of multi-core designs for embedded systems, there is a need of multi-core simulation tools for courseware and class experiments. In this paper, we present a multi-core SID-based simulation framework useful for exercises and hands-on labs for embedded multi-core courses. The SID is a component-based simulation framework upon which a set of simulation components, such as processors, memory, DMAs, LCDs, and other peripherals are built. Our tool includes ingenious MPU IP, and PAC DSP IP with distributed register files. Each of the components is attached with an interconnection adaptor. The adaptor in our design enables the simulation to be done in the functional layer or in the TLM layer for the interconnection networks. Besides, the communication performance of the system can be evaluated in different types of interconnection networks. In addition, our tool supports profiling capability and time-reversible execution, which enables a rich set of experiments in teaching embedded multi-core courses. Finally, we also present a set of possible courses to be based on this set of tools.


Archive | 2005

Task scheduling method for low power dissipation in a system chip

Yung-Chia Lin; Yi-Ping You; Chung-Wen Huang; Jenq-Kuen Lee

Collaboration


Dive into the Chung-Wen Huang's collaboration.

Top Co-Authors

Avatar

Jenq Kuen Lee

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Yi-Ping You

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Yung-Chia Lin

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Jenq-Kuen Lee

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Wei-Kuan Shih

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Cheng-Yen Lin

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Chi-Bang Kuan

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Shi-Yu Huang

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

C. L. Tseng

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Chia-Chieh Weng

National Tsing Hua University

View shared research outputs
Researchain Logo
Decentralizing Knowledge