Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Da-Wei Wang is active.

Publication


Featured researches published by Da-Wei Wang.


IEEE Transactions on Nanotechnology | 2015

Wideband Modeling of Graphene-Based Structures at Different Temperatures Using Hybrid FDTD Method

Da-Wei Wang; Wen-Sheng Zhao; Xiao-Qiang Gu; W.Q. Chen; Wen-Yan Yin

An efficient finite-difference time-domain (FDTD) algorithm is proposed for studying frequency- and temperature-dependent characteristics of some graphene-based structures, with auxiliary differential equation-FDTD method and its conformal modification technique integrated together for handling such atomically thin and electrically dispersive periodic geometries. Numerical results are presented to show their tunable transmittances, surface plasmon polarization-mode characteristics and Fano resonances, where the effects of chemical potential of graphene, biasing electric field strength, as well as operating temperature are captured and investigated in detail.


IEEE Transactions on Electron Devices | 2016

Electrothermal Effects on Hot-Carrier Reliability in SOI MOSFETs—AC Versus Circuit-Speed Random Stress

W.Q. Chen; Ran Cheng; Da-Wei Wang; Hao Song; Xiang Wang; Hongsheng Chen; Er-Ping Li; Wen-Yan Yin; Yi Zhao

Computational study of electrothermal effects on hot-carrier injection (HCI) in 100-nm silicon-on-insulator (SOI) MOSFET for digital integrated circuit is performed using in-house developed time-domain finite element algorithm. The simulated I-V curve is obtained by solving diffusive carrier transport equations, and it agrees well with our measured results. The time-dependent thermal conduction equation is solved to get the transient temperature response of the device. Furthermore, according to the transient temperature responses to different signal stresses, including step pulse, ac signal, and pseudorandom binary sequence (PRBS), HCI-induced threshold voltage shift (TVS) is captured by accounting for the temperature dependence of HCI. It is shown that the TVS of device under PRBS stress, which is used to mimic the circuit speed operation, is slightly larger than that of the device under ac signal with the same frequency. With the frequency of signal stress decreasing from gigahertz to tens of megahertz, TVS becomes more severe, because the temperature during ON-state is higher for the SOI MOSFET under low-frequency stress. Thick buried oxide leads to high temperature in channel and deteriorates the HCI. The method presented in this paper should also be applicable to the other MOSFET counterparts.


IEEE Electron Device Letters | 2015

Electrical Modeling of On-Chip Cu-Graphene Heterogeneous Interconnects

Wen-Sheng Zhao; Da-Wei Wang; Gaofeng Wang; Wen-Yan Yin

In this letter, novel Cu-graphene heterogeneous interconnects are studied by virtue of the equivalent circuit model. The effective resistances of such interconnects are extracted numerically, with the Cu/graphene and graphene/ graphene interface resistances treated appropriately. It is shown that such interconnects can provide superior performance and reliability over Cu wires for an on-chip interconnect applications.


ieee mtt s international microwave workshop series on advanced materials and processes for rf and thz applications | 2015

Modeling of TSV-based solenoid inductors for 3-D integration

Jie Zheng; Da-Wei Wang; Wen-Sheng Zhao; Gaofeng Wang; Wen-Yan Yin

Circuit model for TSV-based solenoid inductors is presented and investigated. Based on the measurement, the related lumped circuit elements can be extracted, and they are independent of the operating frequency by virtue of the RL ladder. Hence, the circuit model is compatible with the SPICE simulators. Based on the circuit model, the impacts of design parameters on the quality factor and inductance are studied. Finally, the frequency-thermal analysis of TSV-based solenoid inductors is carried out.


international conference on nanotechnology | 2015

Modeling and characterization of Cu-graphene heterogeneous interconnects

Da-Wei Wang; Rui Zhang; Wen-Yan Yin; Wen-Sheng Zhao; Gaofeng Wang

In order to exploit graphene materials efficiently, some researcher have proposed Cu-graphene heterogeneous interconnects. In this study, an equivalent circuit model of such novel interconnect is presented, with the interfacial resistance treated appropriately. Then, the electrothermal characterization is carried out based on our self-developed TD-FEM algorithm. It is found that Cu-graphene heterogeneous interconnects could provide superior performance and reliability over the conventional Cu wires.


international symposium on electromagnetic compatibility | 2015

Modelling of multilayer graphene (MLG)-based structures at different temperatures

Da-Wei Wang; Wen-Sheng Zhao; Hong-Ke Ma; W.Q. Chen; Wen-Yan Yin

A FDTD method with the conformal modification is proposed to study electromagnetic properties of multilayer graphene (MLG). The vector fitting technique is employed to change the MLG conductivity model into an appropriate form for the FDTD implementation by an auxiliary differential equation formulation. Some numerical results are presented with the accuracy of our developed algorithm validated by comparing our results to the analytical ones, and the effects of layer number of MLG in the MLG-based structures as well as operating temperature are examined in detail.


IEEE Transactions on Electromagnetic Compatibility | 2018

A Novel Electromagnetic Bandgap Power Plane Etched With Multiring CSRRs for Suppressing Simultaneous Switching Noise

Cheng Ning; Jia-Min Jin; K. Yang; Hao Xie; Da-Wei Wang; Y. Liao; L. D. Wang; Hongsheng Chen; Erping Li; Wen-Yan Yin


IEEE Transactions on Electromagnetic Compatibility | 2018

Investigation of Carbon Nanotube-Based Through-Silicon Vias for PDN Applications

Jing Jin; Wen-Sheng Zhao; Da-Wei Wang; Hongsheng Chen; Er-Ping Li; Wen-Yan Yin


IEEE Transactions on Nanotechnology | 2017

Tunable THz Multiband Frequency-Selective Surface Based on Hybrid Metal–Graphene Structures

Da-Wei Wang; Wen-Sheng Zhao; Hao Xie; Jun Hu; Liang Zhou; W.Q. Chen; Pingqi Gao; Jichun Ye; Yang Xu; Hongsheng Chen; Er-Ping Li; Wen-Yan Yin


International Journal of Numerical Modelling-electronic Networks Devices and Fields | 2018

Multiphysics characterization of polymer‐filled through‐silicon vias ( PF‐TSVs ) for three‐dimensional integration

Jing Jin; Wen-Sheng Zhao; Da-Wei Wang; Liang Zhou; Wen-Yan Yin

Collaboration


Dive into the Da-Wei Wang's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Wen-Sheng Zhao

Hangzhou Dianzi University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Gaofeng Wang

Hangzhou Dianzi University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jun Hu

Zhejiang University

View shared research outputs
Top Co-Authors

Avatar

Liang Zhou

Shanghai Jiao Tong University

View shared research outputs
Researchain Logo
Decentralizing Knowledge