Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Deog-Bae Kim is active.

Publication


Featured researches published by Deog-Bae Kim.


Journal of Vacuum Science & Technology B | 2009

Void-free filling of spin-on dielectric in 22nm wide ultrahigh aspect ratio Si trenches

Krutarth Trivedi; Carlo Floresca; Sang-Jeoung Kim; Hyun-Jin Kim; Deog-Bae Kim; Jae-Hyun Kim; Moon J. Kim; Walter Hu

The authors demonstrate fabrication of ultrahigh aspect ratio nanotrenches, made by nanoimprint lithography and dimension reduction, as test bed shallow trench isolation structures for the 22nm semiconductor node. Polysilazane based spin-on dielectric (SOD) material is spin coated into the nanotrenches, of 22nm width and aspect ratio over 30, to evaluate gap filling property. Fourier transform infrared spectroscopy analysis is used to characterize the curing properties of the SOD, showing that the material can be cured in oxygen at temperatures of 600°C and higher. Transmission electron microscopy images indicate that the filling is complete and void-free along the entirety of the trench.


Proceedings of SPIE | 2007

Polymer Structure Modifications for Immersion Leaching Control

Sang Hyang Lee; Jung Woo Kim; Jeong Woo Kim; Seung Keun Oh; Chan Sik Park; Jung Youl Lee; Sangsoo Kim; Jae-Woo Lee; Deog-Bae Kim; Jae-Hyun Kim; Keun Do Ban; Cheol Kyu Bok; Seung Chan Moon

ArF Immersion lithography is the most promising technology for 45nm node and possibly beyond. However, serious issues in ArF immersion lithography for semiconductor mass production still exist. One of the issues is immersion specific defects, which are caused by photoresist component leaching and residual water droplets. In order to minimize immersion specific defects, preventing water penetration into the resist film is regarded as an important factor. Several research groups have reported that higher receding contact angle reduced defectivity. High receding contact angle of film surface prevent water penetration into the resist film due to the hydrophobic nature. Resist component leaching phenomenon also can be caused by the water penetration into the film, so hydrophobic resist can reduce leaching quantity. In this paper, to investigate chemical leaching from resist surface, we evaluated the leaching value of PAG anion and contact angles of various polymers according to their hydrophobicity. Hydrophilicity of a polymer was changed by the degree of hydrophobic group substitution to polymer chain. We measured receding contact angle with four different resists composed of water-repellent functiona group. Receding contact angle of resist surface increased as the portion of water-repellent functional group increased. Also, the leaching amount of PAG anion decreased as the receding contact angle of film surface increased. We expect that higher receding contact angle prevents chemical leaching from resist film by repelling water at the surface. We will report detailed results in this paper.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Polymer structure modifications for immersion leaching and watermark control

Jae-Woo Lee; Seung Keun Oh; Jung Woo Kim; Sang Hyang Lee; Young Ho Jeong; Sangsoo Kim; Myoung Hwan Park; Deog-Bae Kim; Jae-Hyun Kim; Geunsu Lee; Seung-Chan Moon

Immersion materials have to overcome immersion-issues for successful wet process introduction to semiconductor mass production. Component-leaching issue is one of the most influential wet process huddles, which is related to immersion-liquid and projection lens contamination as well as resist patterning performances. In this paper, we will introduce our experimental results of leaching blocking effects resulted from the modification of polymer and additive structures and from the application of top surface blocking layers. PAG-leaching level of resist film formed of low Tg resin shows the highest meanwhile that of high Tg resin is the smallest leaching value. The interaction forces between additives and resin platforms are the most important to prevent additives leaching to immersion liquid. We have tested 3 different types of resin structures to modify the interaction forces between resin platform and resist components especially PAG molecules and photo-generated acid molecules. We changed 2-hydroxyethyl methacrylate(2-HEMA) contents to be 5, 10, 15% in our base resin, COMA-acrylate hybrid system to modify the hydrophilicity of resist platforms. By mimicking immersion process to obtain wet-performance of their resists we have obtained relative value of component-leaching. Interaction-force between resist platform and PAG was seemed to be largest when resist component-leaching is least so that the pattern profiles become to be vertical. It was appeared that the 5% 2-HEMA containing resin and TPS-Nonaflate PAG system showed the best performance because of its low leaching resulted from their strong interaction forces. Another polymer parameter to determine the component-diffusivity is glass transition temperature, Tg. Low Tg means high mobility of resin by small thermal energy due to high free volume contents inside of the resist film which can act as diffusion pathways of resist components. 10% MA resin system shows the lowest Tg, around 140 degrees C and the most serious T-topping profiles. Defectivity issue becomes more important in immersion process, which is most related to the partial leaching by residual water and resulted in watermark defects. We evaluated the relative easiness of watermark formation on different resist films by correlations between static and dynamic contact angles. We made 2 different resist films composed of 2 different water-repellent functional group monomers. Static contact angles of developer as well as DI water were measured. It was found indirectly that amphiphilicity of resist film surface makes positive effect on watermarks formation, that is, more hydrophobic and more developer-philic resist film remains less residual water droplets.


Advances in Resist Technology and Processing XX | 2003

Effects of quencher ability on profile in chemically amplified resist system

Deog-Bae Kim; Hyun-Jin Kim; Sook-Hee Cho; Dong-hwal Lee; Kwang-Hyi Im; Min-Ja Yoo; Sang-Hyang Lee; Jae-Hyun Kim; Jin-Soo Kim; Hyeong-Soo Kim

Recently, KrF lithography has extended to 100nm technical node using various techniques and pushed ArF lithography to sub-100nm application. To enhance resolution, there are many problems to be solved, like dark erosion (dark film loss), sloped profile, line edge roughness (LER), and so on. Also, thin resist film must be used to prevent pattern collapse. In general, the aspect ratio is less than 2.5 for sub 100nm. For this reason, chemically amplified resist has to get high etch resistance, low dark film loss and vertical profile shape at maximum resolution. Many efforts have been made to solve these problems and to improve resist performance. In this study, we tried to resolve some of these problems using various acid-quenching systems. We estimated the quencher ability using acid diffusion depth in resist film by sandwich method and pKb values of amines. The changes of lithographic properties according to the application of different amines were investigated. It was found that acid-quenching ability of an amine was not related to its basicity from sandwich experiment results. In fact, quenching efficiency was more closely related to the amine molecular structure and bulkiness of a substituent attached to nitrogen atom. We observed that pattern shape and process margin were not directly related to the basicity of an amine, but more related to quenching efficiency. The amines having higher quenching ability show wider process margin. However, other lithographic properties such as LER and dark erosion were not affected by acid-quenching ability. It is believed that they are determined by other components including polymer, protection groups, and PAGs.


international reliability physics symposium | 2002

Evaluation of STI degradation causing DRAM standby current failure in burn-in mode operation using a carrier injection method

Seung-Wan Hong; Gyo Young Jin; H.W. Seo; Donghee Lee; Jai Hyuk Song; Jinhyun Noh; Y.C. Oh; Jungdong Kim; Deog-Bae Kim; Hye-jin Kim; Dae-Joong Won; Wonshik Lee; Du-Heon Song; Kyongtaek Lee; Woon-kyung Lee

P+ to p+ isolation degradation that causes DRAM standby current failure under burn-in mode operation is investigated. Although the isolation of the test devices dose not show any degradation or weakness in conventional electrical characterization, it is found that the degradation can be observed by a carrier injection method. Using the simple carrier injection method to simulate the real operating condition of a DRAM chip, a potential problem of the isolation degradation can be easily found, which cannot be screened by conventional electrical measurement.


26th Annual International Symposium on Microlithography | 2001

Improved lithographic performance of 193-nm photoresists based on cycloolefin/maleic anhydride copolymer by employing mixed PAGs

Se-Jin Choi; Yong-Jun Choi; Yang-Sook Kim; Sang-Don Kim; Deog-Bae Kim; Jae-Hyun Kim; Cha-Won Koh; Geunsu Lee; Jae Chang Jung; Ki-Ho Baik

The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.


Proceedings of SPIE | 2010

Measurement of EUV resists performances RLS by DUV light source

Jeong-Sik Kim; Jae-Woo Lee; Deog-Bae Kim; Jae-Hyun Kim

Recently published experimental results indicate that current resists seem to be very hard to meet the International Roadmap for Semiconductors (ITRS) goals for Resolution, Line Width Roughness (LWR) and Sensitivity (RLS) simultaneously. This RLS trade-off has also been demonstrated through modeling work. RLS goals may not be possible for lithographers to achieve all three simultaneously by applying current standard chemically amplified resists and processes. In this paper, we have synthesized the various PAG(photo-acid generator) bound polymers for different anion size and other molecular weight (Mw). In order to reach the EUV resist targets, we investigate the effect of diffusion length on energy latitude(EL), resolution and LWR under DUV light and EUV exposure. We will also use DUV light to explore the impact of DUV contrast on the RLS relationships in EUV performances. We have measured Eth and LWR in DUV patterning process and correlated them with those obtained in EUV process. By using DUV light source we have setup EUV resist pre-screening and improving method.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Influences of Various Defects on Extreme Ultra-Violet Mask

Eun Jin Kim; Jee-Hye You; Jung-Youl Lee; Deog-Bae Kim; Jae-Hyun Kim; Hye-Keun Oh

Mask defect is one of the biggest problems in Extreme Ultraviolet Lithography (EUV) technology. EUV mask must be free of small defects, requiring development of new inspection tools and low defect fabrication processes. So, we studied the influences of the defects on the mask for 22 nm line and space pattern. First, we changed the light quality caused by the various wavelength shift, incident angle, and the defect material with different refractive index. Second, we changed the defect size from 20 nm to 16 nm because 18 nm defect is assumed to a critical defect size for 22 nm node. Third, we also changed the defect positions; on top of the absorber, on the valley of the absorber, and at the sides of the absorber. Finally, we simulated the influence for the different shaped defect. A square pillar defect shows very different behavior compared to the more realistic round shaped defect. Defect of higher refractive index gives little influence, while defect of lower refractive index gives larger influence. A more realistic elliptical shaped defect gives less influence compared to square shaped defect. All the defect and EUV parameters will influence to the printability of the defect, but more study is needed to judge whether a certain defect can influence the printed pattern.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Macrocycle monomer having ethyleneoxy unit to buffer acid diffusion (new base for photoresist)

Geunsu Lee; Keun-Kyu Kong; Jae Chang Jung; Ki-Soo Shin; Jae-Hyun Kang; Sang-Don Kim; Yong Jun Choi; Se-Jin Choi; Deog-Bae Kim; Jae-Hyun Kim

Crown ether derivatives are composed of multi-ethyleneoxy units and have an electron rich cavity that can accommodate a proton. We have broadly investigated the effect of lone pair electrons of accumulated oxygen. First, we studied whether these crown compounds can control acid diffusion or not. Second, we synthesized monomers containing cyclic multi-ethyleneoxy units and studied their effect in polymers. Finally, we compared them with amines. Crown either, 18-crown-6, has a proper cavity to capture a proton by hydrogen bonding and actually had enough basicity to control acid diffusion. These studies show that crown ether derivatives can replace amines as a bases to restrain acid diffusion.


international reliability physics symposium | 2001

Analysis of via-void generation mechanism for giga-bit-scale DRAM

Deog-Bae Kim; Ji-Young Park; B.C. Kim; S.C. Lee; M.K. Bae; J.W. Nam; I.S. Park; H.Y. Kim; T.K. Kim; D.W. Choi; J.Y. Lee; J.S. Kim; Young June Park; J.I. Hong; Junekyun Park

The via-void generation mechanism in a high density DRAM with high temperature stress was investigated. The reaction of Al with the wetting layer is one of the key factors creating the microstructure. Stress enhancement after the interconnection process enhances the volume shrinkage of the interconnect and finally generates an observable via-void. By ABAQUS simulation, the depth of via formation, passivation material and thickness, and thermal budget of the alloying process were also shown to be the major stress-influencing factors. The void-free, high reliability gigabit-scale DRAM was realized when the Al reaction and interconnection related stress was minimized.

Collaboration


Dive into the Deog-Bae Kim's collaboration.

Top Co-Authors

Avatar

Jae-Woo Lee

Seoul National University

View shared research outputs
Top Co-Authors

Avatar

Jung-Youl Lee

Gyeongsang National University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge