Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Geunsu Lee is active.

Publication


Featured researches published by Geunsu Lee.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Polymer structure modifications for immersion leaching and watermark control

Jae-Woo Lee; Seung Keun Oh; Jung Woo Kim; Sang Hyang Lee; Young Ho Jeong; Sangsoo Kim; Myoung Hwan Park; Deog-Bae Kim; Jae-Hyun Kim; Geunsu Lee; Seung-Chan Moon

Immersion materials have to overcome immersion-issues for successful wet process introduction to semiconductor mass production. Component-leaching issue is one of the most influential wet process huddles, which is related to immersion-liquid and projection lens contamination as well as resist patterning performances. In this paper, we will introduce our experimental results of leaching blocking effects resulted from the modification of polymer and additive structures and from the application of top surface blocking layers. PAG-leaching level of resist film formed of low Tg resin shows the highest meanwhile that of high Tg resin is the smallest leaching value. The interaction forces between additives and resin platforms are the most important to prevent additives leaching to immersion liquid. We have tested 3 different types of resin structures to modify the interaction forces between resin platform and resist components especially PAG molecules and photo-generated acid molecules. We changed 2-hydroxyethyl methacrylate(2-HEMA) contents to be 5, 10, 15% in our base resin, COMA-acrylate hybrid system to modify the hydrophilicity of resist platforms. By mimicking immersion process to obtain wet-performance of their resists we have obtained relative value of component-leaching. Interaction-force between resist platform and PAG was seemed to be largest when resist component-leaching is least so that the pattern profiles become to be vertical. It was appeared that the 5% 2-HEMA containing resin and TPS-Nonaflate PAG system showed the best performance because of its low leaching resulted from their strong interaction forces. Another polymer parameter to determine the component-diffusivity is glass transition temperature, Tg. Low Tg means high mobility of resin by small thermal energy due to high free volume contents inside of the resist film which can act as diffusion pathways of resist components. 10% MA resin system shows the lowest Tg, around 140 degrees C and the most serious T-topping profiles. Defectivity issue becomes more important in immersion process, which is most related to the partial leaching by residual water and resulted in watermark defects. We evaluated the relative easiness of watermark formation on different resist films by correlations between static and dynamic contact angles. We made 2 different resist films composed of 2 different water-repellent functional group monomers. Static contact angles of developer as well as DI water were measured. It was found indirectly that amphiphilicity of resist film surface makes positive effect on watermarks formation, that is, more hydrophobic and more developer-philic resist film remains less residual water droplets.


Advances in resist technology and processing. Conference | 2005

Origin of LER and its solution

Geunsu Lee; Tae-Seung Eom; Cheol-Kyu Bok; Chang-Moon Lim; Seung-Chan Moon; Jin-Woong Kim

We have studied several factors having an effect on LER in terms of resist chemistry, resist process, CD-SEM metrology, numerical aperture and sigma settings of the exposure tool, and the mask pattern. LER is extracted from the developed resist profile. In ArF lithography process, development and rinse process is very critical because ArF resist is relatively hydrophobic compared to KrF resist. It causes heterogeneous interaction at interface of resist and aqueous solution (developer or deionized water). We improved roughness at contact hole pattern by the introduction of wetting process prior to development. Clear and homogeneous rinsing is also needed to remove scum and swelled resist generated at development step. On the other hand, the roughness of mask pattern is one of the important factors of LER on wafer. We confirmed that this global dislocation is a potent influence but local edge roughness of mask is insignificant to wafer LER. This dislocation of pattern is originated from the lack of shot accuracy in E-beam writer using variable shaped beam.


Advances in Resist Technology and Processing XX | 2003

Rinse liquid to improve pattern collapse behavior

Geunsu Lee; Sung-Koo Lee; Young-Sun Hwang; Jae-Chang Jung; Cheol-Kyu Bok; Seung-Chan Moon; Ki-Soo Shin

We designed and prepared a test mask to study a pattern collapse (PC) and investigated a rinse dependency. We report the effect of surfactant and solvent in rinse. The collapse behavior was quantified in terms of the first collapsed critical dimension (FCCD) in 90nm L/S ArF resist patterns. In-house rinse liquids (SE series) showed relatively lower surface tension (ST) compared to commercial one. They greatly reduced pattern collapse behavior (PCB) of from FCCD 102nm to 85nm L/S using these solutions. However, SE-100 showed defect by bubble and the others show bad compatibility with photoresist. SES-100 is the only rinse liquid candidate in this experiment.


26th Annual International Symposium on Microlithography | 2001

Improved lithographic performance of 193-nm photoresists based on cycloolefin/maleic anhydride copolymer by employing mixed PAGs

Se-Jin Choi; Yong-Jun Choi; Yang-Sook Kim; Sang-Don Kim; Deog-Bae Kim; Jae-Hyun Kim; Cha-Won Koh; Geunsu Lee; Jae Chang Jung; Ki-Ho Baik

The effect of mixed PAGs on the performance of ArF photoresists based on cycloolefin/maleic anhydride (COMA) copolymers were investigated. Several different PAGs were prepared according to the size of photogenerated acid moiety and structure of light sensitive chromophore, and the impact of PAG property on lithographic performance was investigated in terms of the acid size, acid generation efficiency, and hydrophobicity of PAG. The diffusion according to the size of generated acid and hydrophobicity of PAG were found to be the most profound factors of the pattern profile and line edge roughness (LER) of developed resist pattern. Resolution capability as well as PED stability can be improved though adjustment of acid size, PAG hydrophobicity. Additionally, profile difference between dark and bright field according to mask type can be suppressed by the use of PAG with lower acid generation efficiency. However, lithographic performance, such as LER, pattern profile, and PED stability show the dissimilar trend with acid size and hydrophobicity of PAG. Thus, when PAG mixture is employed in a photoresist formulation, it is observed that both pattern profile and LER were improved simultaneously, which has been difficult to achieve for previously reported OCMA- based ArF resists.


26th Annual International Symposium on Microlithography | 2001

Novel routes toward sub-70-nm contact windows by using new KrF photoresist

Jin Soo Kim; Cha-Won Koh; Geunsu Lee; Jae Chang Jung; Ki-Soo Shin

To overcome C/H(contact holes) shrinkage limitation of Resist Flow Process (RFP), we investigated and analyzed the tendency of the shrink bias according to the baking temperature and other process factors. Based on this basic test, we found that the shrink bias for the baking temperature could be modeled on the simple linear function. And also we estimated new Hotplate to improve CD uniformity after the resist flow and evaluated newly developed photoresist (New Resist) for the stable C/H shrinkage. In this study, we could recognize that CD uniformity after the resist flow was very dependent on actual temperature uniformity of Hotplate. Actually New Hotplate, which was superior to normal Hotplate, showed good CD uniformity (16nm) at the strong brink bias(140nm). On the other hand, the C/H shrinkage of New Resist was more stable than those of normal KrF Resists and its C/H profiles could not be severely deformed at even high baking temperature to shrink Sub-70nm C/H from original C/H(200nm). Based on these results, the progressive Resist Flow Process in KrF lithography will be a very robust candidate at even high gigabit generation devices.


international microprocesses and nanotechnology conference | 2000

Novel organic bottom anti-reflective coating materials for 193 nm lithography

Min-Ho Jung; Sung-Eun Hong; Jae-Chang Jung; Geunsu Lee; Cha-Won Koh; Jin-Soo Kim; Ki-Ho Baik

We report the performance and compatibility of our new organic BARC materials (HEART004) designed to work for lithographic applications at 193 nm. The new organic BARC material has approximately an optical density of 12.1 /spl mu/m/sup -1/ at 193 nm. Its plasma etch rate is higher than that of ArF resists depending on the etch conditions. We evaluated typical two kinds of ArF resists on the organic BARCs, one is DHA1001 based on cycloolefin/maleic anhydride copolymer and the other is PAR710 based on acrylic copolymer. The PAR710 shows good compatibility with HEART004 at 210/spl deg/C of baking temperature.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Parameter investigation of PEB sensitivity

Seung Keun Oh; Eun Kyung Son; Chan Sik Park; Jung Youl Lee; Jeong Woo Kim; Jae-Woo Lee; Deog Bae Kim; Jae-Hyun Kim; Geunsu Lee; Seung-Chan Moon

Post exposure bake temperature sensitivity (PEB sensitivity) becomes important as the pattern pitch size shrinks gradually. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, activation energy for deprotection reaction, free volume of base polymer, and so on. Our works were conducted as a part of the basic study for searching influential parameter of PEB sensitivity. We found that PEB sensitivity relies largely on not only acid diffusion parameter, but also the hydrophilicity of base polymer and protection group ratio. Also, we observed that bulkiness of deprotection group has great influence on PEB sensitivity. Detailed results will be reported in this paper.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Development of multi-function hard mask to simplify process step

Kilyoung Lee; Samyoung Kim; Geunsu Lee; Sung-Kwon Lee; Junhee Cho; Won-Kyu Kim; Cheol-Kyu Bok; Hyeong-Soo Kim; Seung-Chan Moon; Jin-Woong Kim

ArF lithography has been driven into sub-100 nm dimensions using high numerical apertures, phase-shift mask, modified illumination, and optical proximity correction. As feature size continues to shrink, photoresist thickness as an imaging layer has been decreased for the improvement of lithographic process window and pattern collapse margin. Moreover, ArF photoresist has the inherent demerit of poor etch resistance in comparison with KrF photoresist and we have to use inorganic hard mask materials such as silicon-nitride, -oxide, poly-silicon, and silicon oxynitride as a pattern transfer layer. The cost-of-ownership (COO) of CVD process related to the application of inorganic hard mask is much more expensive than that of spin-on process. Therefore, several processes including bi-layer resist process (BLR), and tri-layer resist process (TLR)1 have been investigated. This paper will focus on TLR process consisted of multi-function hard mask (MFHM) material and spin on carbon (SOC) material.


Advances in Resist Technology and Processing XXI | 2004

Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithography

Geunsu Lee; Young Sun Hwang; Keun Do Ban; Cheol Kyu Bok; Seung Chan Moon; Ki Soo Shin

In-house rinse, HR31 has a strong point in terms of lithographic performance, defect, bubble, and metal impurity. The collapse behavior was quantified in terms of SMCD (Standing Minimum CD) in 80nm dense L/S ArF resist patterns. It contributed to enlarging process window by improving collapse (SMCD: 84→72nm), CD uniformity (12.3→9.3nm), and lithographic margin [EL (11.7→12.8%), and DOF (0.20→0.25µm)].


SPIE's 27th Annual International Symposium on Microlithography | 2002

Macrocycle monomer having ethyleneoxy unit to buffer acid diffusion (new base for photoresist)

Geunsu Lee; Keun-Kyu Kong; Jae Chang Jung; Ki-Soo Shin; Jae-Hyun Kang; Sang-Don Kim; Yong Jun Choi; Se-Jin Choi; Deog-Bae Kim; Jae-Hyun Kim

Crown ether derivatives are composed of multi-ethyleneoxy units and have an electron rich cavity that can accommodate a proton. We have broadly investigated the effect of lone pair electrons of accumulated oxygen. First, we studied whether these crown compounds can control acid diffusion or not. Second, we synthesized monomers containing cyclic multi-ethyleneoxy units and studied their effect in polymers. Finally, we compared them with amines. Crown either, 18-crown-6, has a proper cavity to capture a proton by hydrogen bonding and actually had enough basicity to control acid diffusion. These studies show that crown ether derivatives can replace amines as a bases to restrain acid diffusion.

Collaboration


Dive into the Geunsu Lee's collaboration.

Top Co-Authors

Avatar

Ki-Ho Baik

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge