Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dong-Heok Lee is active.

Publication


Featured researches published by Dong-Heok Lee.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Threshold residual ion concentration on photomask surface to prevent haze defects

Jong-Min Kim; Jae-Chul Lee; Dong-Shik Kang; Dong-Heok Lee; Chul Shin; Moon-Hwan Choi; Sang-Soo Choi

Haze generation has been serious issue on wafer lithography process, as illumination wavelength become shorter with 248nm and 193nm. Several published papers have been reported that ammonium and sulfate residual ion on mask surface is major source of haze generation. These ions are come from conventional photomask cleaning process. PKL have been studied new cleaning process to minimize haze generation and found cleaning process condition. Also, PKL found that residual ammonium ion is major source of haze generation than residual sulfate ion. New cleaning process improved residual ammonium ion concentration to less than 45 ppb from 900 ppb with conventional RCA cleaning. And illumination doses generating haze have been tested on five residual ammonium ion, 1500 ppb, 900 ppb, 160 ppb, 70 ppb, 45 ppb, respectively. In house designed Haze Acceleration Test Bench (HATB) was used to expose masks. Haze were not generated until from 25 kJ to 100 kJ, on 160 ppb to 45 ppb of ammonium ion concentration, respectively. And the residual of sulfate ion and its haze generation dose did not correspond. Residual ammonium ions need to be controlled tightly than sulfate ion. PKL concentrated on minimizing ammonium residual with new cleaning process and found the optimized cleaning process for preventing 100kJ of cumulative energy on ArF embedded attenuated PSM (EAPSM).


SPIE Photomask Technology | 2013

Increasing reticle inspection efficiency and reducing wafer printchecks at 14nm using automated defect classification and simulation

Shazad Paracha; Eliot Goodman; Benjamin Eynon; Ben F. Noyes; Steven Ha; Jong-Min Kim; Dong-Seok Lee; Dong-Heok Lee; Sang-Soo Cho; Young Ham; Anthony Vacca; Peter Fiekowsky; Daniel I. Fiekowsky

IC fabs inspect critical masks on a regular basis to ensure high wafer yields. These requalification inspections are costly for many reasons including the capital equipment, system maintenance, and labor costs. In addition, masks typically remain in the “requal” phase for extended, non-productive periods of time. The overall “requal” cycle time in which reticles remain non-productive is challenging to control. Shipping schedules can slip when wafer lots are put on hold until the master critical layer reticle is returned to production. Unfortunately, substituting backup critical layer reticles can significantly reduce an otherwise tightly controlled process window adversely affecting wafer yields. One major requal cycle time component is the disposition process of mask inspections containing hundreds of defects. Not only is precious non-productive time extended by reviewing hundreds of potentially yield-limiting detections, each additional classification increases the risk of manual review techniques accidentally passing real yield limiting defects. Even assuming all defects of interest are flagged by operators, how can any persons judgment be confident regarding lithographic impact of such defects? The time reticles spend away from scanners combined with potential yield loss due to lithographic uncertainty presents significant cycle time loss and increased production costs An automatic defect analysis system (ADAS), which has been in fab production for numerous years, has been improved to handle the new challenges of 14nm node automate reticle defect classification by simulating each defect’s printability under the intended illumination conditions. In this study, we have created programmed defects on a production 14nm node critical-layer reticle. These defects have been analyzed with lithographic simulation software and compared to the results of both AIMS optical simulation and to actual wafer prints.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Advanced photomask cleaning for 32nm and beyond

Jong-Min Kim; Young-Jin An; Dong-Seok Lee; Hyo-Jin Ahn; Hyun-Ju Jung; Jae-Chul Lee; Dong-Heok Lee; Sang-Soo Choi

High PRE (Particle removal efficiency) and damage free cleaning became main cleaning challenges over haze prevention in photomask industry, nowadays. SRAF (Sub-resolution assist feature) size became small down below 0.1um as pattern size become small. Acoustic frequency and power is the main parameter to increase PRE in photomask cleaning. 1 MHz of acoustic frequency was good enough to remove particles and soft defects until recently. But it has shown pattern damages for SB (Scattering bar) size of below 0.1um unfortunately. In this paper, we optimized photomask cleaning process to achieve high PRE and low pattern damage. Its haze prevention capability and cycle cleaning durability was verified with in-house-built HATB and AIMS, respectively.


Photomask and next-generation lithography mask technology. Conference | 2003

Detection capability for chrome defect of tri-tone PSM

Jung-Kwan Lee; Dae-Woo Kim; Kyong-Mun Shin; Dong-Heok Lee; Jin-Min Kim; Sang-Soo Choi

The semiconductor industry continuously shrink the linewidths and the smaller linewidths are easily affected by the defects. The defects have to be detected to prevent printed images on wafers. This paper will present the detection capability of current inspection machines for chrome defects on attenuated MoSiN layer and simulation results for the effect of chrome defect on attenuated layer. Two inspection machines based on i-line light source were used for comparison of detection capability for chrome defect on attenuated layer. The effect of chrome defect on attenuated MoSiN layer was evaluated with MSM 100 at 248 nm wavelength.


Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology | 2017

Application of advanced structure to multi-tone mask for FPD process

Jin-Han Song; Jin-Woong Jeong; Kyu-Sik Kim; Woo-Gun Jeong; Sang-Pil Yun; Dong-Heok Lee; Sang-Soo Choi

In accordance with improvement of FPD technology, masks such as phase shift mask (PSM) and multi-tone mask (MTM) for a particular purpose also have been developed. Above all, the MTM consisted of more than tri-tone transmittance has a substantial advantage which enables to reduce the number of mask demand in FPD fabrication process contrast to normal mask of two-tone transmittance.[1,2] A chromium (Cr)-based MTM (Typically top type) is being widely employed because of convenience of etch process caused by its only Cr-based structure consisted of Cr absorber layer and Cr half-tone layer. However, the top type of Cr-based MTM demands two Cr sputtering processes after each layer etching process and writing process. For this reason, a different material from the Cr-based MTM is required for reduction of mask fabrication time and cost. In this study, we evaluate a MTM which has a structure combined Cr with molybdenum silicide (MoSi) to resolve the issues mentioned above. The MoSi which is demonstrated by integrated circuit (IC) process is a suitable material for MTM evaluation. This structure could realize multi-transmittance in common with the Cr-based MTM. Moreover, it enables to reduce the number of sputtering process. We investigate a optimized structure upon consideration of productivity along with performance such as critical dimension (CD) variation and transmittance range of each structure. The transmittance is targeted at h-line wavelength (405 nm) in the evaluation. Compared with Cr-based MTM, the performances of all Cr-/MoSi-based MTMs are considered.


Photomask Technology 2013 | 2013

Mask contamination study in electron and ion beam repair system

Hyo-Jin Ahn; Jong-Min Kim; Dong-Seok Lee; Gyu-Yong Lee; Dong-Heok Lee; Sang-Soo Choi

At 32nm technology node and beyond, the number of defect to be repaired is increased because pattern size is shrunk and the detecting ability of inspection system higher than before. In repair system, mask surface is exposed to the various contaminations such as contamination from vacuum chamber wall, reaction gas for repair etc. Although contaminations deposited on mask surface are removed by followed cleaning process, it makes reflectance change on scan area detected by high resolution inspection system. This reflectance change on scan area in repair system detected during inspection is big burden for mask making because the number of scan area requires more time to confirm and need AIMS simulation if there is any issue on the area. Most of all, it is hard to find where the exact repaired pattern is and verify whether there are no problems because inspection system does not detect exact repaired pattern but detect all scan area. Especially, this phenomenon is more observed to MoSi absorber of OMOG mask. In this paper, we demonstrate the findings of contamination source and the root cause of contamination using surface analyzing methods, ToF-SIMS(Time of Flight-SIMS) and AFM(Atomic Force Microscope). In addition, preventive strategy to minimize and remove reflectance change issue in repair system will be discussed.


SPIE Photomask Technology | 2011

Study on the soft defects related to dry etch process of phase shift mask

Young-Jin An; Jong-Min Kim; Byung-Sun Kang; Dong-Heok Lee; Sang-Soo Choi

In mask manufacturing process, some soft defects generated through co-interaction of dry etch and PR coating are hard to be removed in the conventional cleaning or repair process. It is on MoSi layer with smooth surface (lower roughness than MoSi), very thin and higher transmittance than MoSi film, it looks like half-tone pin-hole. Also, the defects are hard to detect in the conventional PSM inspection tool because of its thin and higher transmittance. In this paper, the root cause and control method of dry etch related half-tone pin-hole like soft defect is studied.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Pinhole defect study and process optimization

Won-Hee Chae; Guen-Ho Hwang; Soon-Kyu Seo; Dae-Han Kim; Dong-Heok Lee; Moon-Hwan Choi; Sang-Soo Choi; Dong-Gun Kim; Doo-Hoon Geum; Gi-Su Nam

Positive CAR(Chemically Amplified Resist) is exposed by electron beam and PAGs(Photo Acid Generator) of photoresist release acids. Protecting groups of exposed polymers are de-protected by the acids and unexposed polymers still keep having protecting groups. It brings out dissolution difference between exposed and unexposed photoresist in develop process. Unexposed photoresist should be remained after develop process as much as needed for patterning. However, we have observed that unexposed photoresist also can be dissolved by developer droplet with hundreds micrometer size. It resulted in photoresist pinholes after develop process and clear defects after dry etching and photoresist stripping. Firstly, we have studied the pinhole defect formation mechanism by verifying the difference between normal develop process and developer droplet. It was confirmed that the dissolution difference are caused by phase environment difference, 2 phases(solid - liquid) for normal develop process and 3 phases(solid - liquid - air) at meniscus boundary for developer droplet. It also can be explained on different dissolution rate by droplet size. Also, possible defect types by developer droplet have been reviewed through process simulation to narrow down the critical steps in develop process. Besides, some of easy accessible process parameters have been evaluated to see whether they are effective or not for clear defect reduction.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Validation of ArF PSM quality using AIMS simulation method in repeated cleaning

Dong-Seok Lee; Hyun-Ju Jung; Jung-Kwan Lee; Woo-Gun Jung; Dong-Heok Lee; Cheol Shin; Sang-Soo Choi; Moon-Hwan Choi

Since the haze generation causes unexpected wafer yield losses, it has been a serious issue on wafer lithography as illumination wavelengths become shorter with 248nm and 193nm. Several papers regarding to cleaning and its effect on haze generation have been published. A mask is cleaned periodically to prevent from the haze generation. These periodic or repetitive cleanings causes unacceptable phase and transmittance variation. Therefore, the number of cleaning cycles has been limited to meet limitation of phase and transmittance. In this paper, relaxation for pass or fail criteria was studied based on phase and transmittance margin, as one of the solutions of cleaning limitation. Optimum cleaning cycles were determined by using AIMS (Aerial Image Measurement system) simulation methods. Various parameters such as phase and transmittance variation, depth profile, intensity, CD (Critical Dimension) with line and space and contact pattern of pre and post cleaned ArF PSM were measured whenever a mask was cleaned repeatedly. Moreover, a mask quality was validated based on the measured parameters, considering limitation of phase and transmittance and lithography margin. The cleaning and validation were repeated several times until intensity and CD were out of limitation. Based on these studies, a correlation model between the numbers of cleaning cycles and measured parameters from AIMS simulation were developed. The newly developed correlation model was used for an estimating parameter for the optimum number of cleaning cycles to be performed.


Photomask and next-generation lithography mask technology. Conference | 2002

Characteristics of residues and optical change of HT PSM during stepwise wet cleaning and optimization of HT PSM cleaning process

Woo-Gun Jeong; Dae-Woo Kim; Chang-Min Park; Ki-Won An; Dong-Heok Lee; Jin-Min Kim; Sang-Soo Choi; Soo Hong Jeong

A method of PSM cleaning has been developed and its cleaning performance was studied by changing H2SO4 / H2O2 mixture(SPM) and diluted standard cleaning-1 (SC-1) chemical ratio and controlling phase and transmittance of KrF HT PSM, within ±3° and ±0.3 percent respectively. The type of residue was scrutinized using KLA-Tencor SL3UV and scanning electron microscopy (SEM) during stepwise process and cleaning. X-ray photoelectron spectroscopy (XPS) was also employed to characterize the residues on the HT PSM surface. Diluted HF (DHF) and DHF/H2O2 mixture (FPM) were introduced to etch off the remaining defects on quartz after MoSiON dry etch process and also compared their results with the gas assisted etching (GAE) repair. It has turned out that DHF, FPM and GAE repair removed the remaining defects on quartz respectively. Our results demonstrate that approach of stepwise process inspection is very effective at identifying defects and their sources as they become evident at different process steps. Finally it was shown that diluted SC-1 with quick dump method followed by the direct displacement IPA dry is promising for the improvement of HT PSM cleaning efficiency and its residual impurities and causes no damage on the MoSiON surface. It is found that efficient and effective conventional chemical treatment, direct displacement IPA dry and GAE repair would be considered to be the integrated sequence to control the smallest particles for the HT PSM.

Collaboration


Dive into the Dong-Heok Lee's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge