Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Soo-Hong Jeong is active.

Publication


Featured researches published by Soo-Hong Jeong.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Optimum PEC Conditions Under Resist Heating Effect Reduction for 90nm Node Mask Writing

Eu Sang Park; Jong Hwa Lee; Dong-Il Park; Woo Gun Jeong; Soon Kyu Seo; Jin-Min Kim; Sang-Soo Choi; Soo-Hong Jeong

For high-voltage vector e-beam writing systems, solving the resist heating effect problem is one of the highest priorities because it is a major factor affecting localized critical dimension (CD) uniformity. In order to write patterns for 90nm node devices, the utilization of proximity effect correction (PEC) is essential for e-beam mask writers to achieve high CD performance. In this study, the dependence of CD variation on e-beam write conditions was investigated under optimum PEC parameter conditions. Writing conditions such as current density, shot size, number of writing passes, and settling time were tested to see their affects on resist heating. Industry-standard Nippon Zeon ZEP 7000 resist was written by a Toshiba EBM-3500B 50KeV vector e-beam writer using patterns found in sub-130nm node devices. Results indicated that the main factor affecting resist heating CD variation for ZEP 7000 was in fact the e-beam writer shot size selected. Multi-pass writing was effective in reducing the CD variation, and the settling time of each shot in the EBM-3500B had very little influence.


21st Annual BACUS Symposium on Photomask Technology | 2002

Loading effect parameters of dry etcher system and their analysis in mask-to-mask loading and within-mask loading

Hyuk-Joo Kwon; Dong-Soo Min; Pil-Jin Jang; Byung-Soo Chang; Boo-Yeon Choi; Soo-Hong Jeong

The Cr etch rate is affected by Cr density to be etched at the photomask. Different mask-to-mask and within-mask pattern densities have made difficult to control the final CD. We have tested loading effect using binary Cr mask with ZEP7000 photoresist. The loading effect was evaluated for the masks fabricated at the various dry etch conditions with different within-mask Cr loading. The Cr etch rate and selectivity was observed at various process conditions and relations between parameters of dry etch process and Cr loading were evaluated. The horizontal and the vertical Cr etch rates were investigated and the process parameter dependence on the Cr loading was analyzed. The horizontal and the vertical photoresist etch rates were evaluated for the photoresist loading effect. The cause of mask-to-mask loading and within-mask loading are mainly from Cr loading and photoresists loading, respectively. The Cr loading is mainly affected by source power, pressure, and Cl2/O2 ratio. In our system, within-mask Cr loading is strongly dependent on the process parameters when the selectivity of Cr to PR is below 1. If uniformity and selectivity are acceptable, high DC bias, high ga flow, low pressure, and high Cl2/O2 ratio are recommended to reduce loading effect.


22nd Annual BACUS Symposium on Photomask Technology | 2002

90nm Node CD Uniformity Improvement Using a Controlled Gradient Temperature CAR PEB Process

Dong-Il Park; Soon-Kyu Seo; Eu-Sang Park; Jong-Hwa Lee; Woo-Gun Jeong; Jin-Min Kim; Sang-Soo Choi; Soo-Hong Jeong

Writing fogging effect in chemically amplified resist process makes critical effect on global CD distribution in the advanced 90nm node photomask with higher pattern density and smaller geometries. High contrast feature of chemically amplified resist makes difficult to correct the global CD uniformity in resist develop process compared with conventional ZEP resist. In this paper we examine the fogging effect in the combination chemically amplified resist with 50KeV writing tool and the consequential problem for production mask with higher pattern density. We will present the feasibility of the global CD uniformity correction technique in post exposure baking process using gradient temperature hotplate.


21st Annual BACUS Symposium on Photomask Technology | 2002

Evaluation of various alternating phase shifting mask processes for KrF lithography

Si-Yeul Yoon; Han-Sun Cha; Se-Jong Choi; Sung-Mo Jung; Sang-Soo Choi; Soo-Hong Jeong

It is reported that Alternating Phase Shifting Mask (Alt. PSM) enhances the resolution and depth of focus and reduces the mask error enhancement factor efficiently. In spite of above-mentioned advantages, Alt. PSM is rarely used for some problems. One of the problems is the image imbalance between the transmittance of the shift and the nonshift area on Alt. PSM. To minimize the image imbalance, various manufacturing processes are introduced for both single and dual trench structures. In this paper, the image balance was simulated with AIMS and the Solid-CM program. The pattern profile, CD, depth and a phase uniformity of Alt. PSM were investigated experimentally. We carried out 3 types of processes (a) single trench (wet etch process), (b) single trench (dry etch process with undercuts), (c) dual trench (dry etch process with undercuts). The type (a) showed 12 nm of CD uniformity, 1.56 degrees of phase shift uniformity and 63 Angstrom of the shift depth. And its transmittance of the shift region was 99.85 percent. For the type (b), its CD uniformity, phase shift uniformity, depth uniformity and the transmittance of the shift region were 15 nm, 11.56 degrees, 208 Angstrom and 99.76 percent, respectively. And the experiments of the type (c) are now under way.


Photomask and next-generation lithography mask technology. Conference | 2002

Application of multiple-wavelength absorption endpoint system in photomask dry etcher

Dong-Soo Min; Pil-Jin Jang; Hyuk-Joo Kwon; Boo-Yeon Choi; Soo-Hong Jeong

Recently dry etcher system is a key process technology in preparation of photomask for next generation microelectronic device and endpoint detection system is an important part of the dry etch process, because mask CD control of Cr mask is more critical issues than before. In this paper, we describe real time endpoint system which is operated by optical emission multiple wavelength absorption for dry etch process of binary photomask. The end point detection system absorbs optical emission signal in real time, using optical cable from plasm chamber in dry etcher, and the signal is absorbed 200-800nm wavelength for a lot of grating manufactured by etch angel. The signal detects endpoint of process by association of one or several wavelength. We have tested newly developed EPD system and installed at PKLs dry etcher system, using various open area Cr mask with ZEP70000 resist. This study showed that multiple wavelength absorption technique is enough to detect endpoint down to 2 percent Cr loading masks and the EPD signal reproducibility was within 2 percent of EPD time at the same patterned masks.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Comparative Evaluation of Mask Production CAR Development Process with Stepwise Defect Inspection

Woo-Gun Jeong; Jung-Kwan Lee; Dong-Il Park; Eu-Sang Park; Jong-Hwa Lee; Sun-Kyu Seo; Dong-Heok Lee; Jin-Min Kim; Sang-Soo Choi; Soo-Hong Jeong

Chemically amplified resist (CAR) provides superior lithographic performance compared to traditional e-beam resists in production maskmaking. Parameters benefiting the most are contrast, resolution, and sensitivity. In spite of CARs advantages, defect control and tighter 50KeV e-beam CAR process restrictions are significantly more critical thanks to smaller geometries, tighter CD specifications, and optical proximity correction (OPC) for 90nm node mask technology. Among defect root causes, resist development is considered to be the one of the most important steps because post-development residue can generate printable defects on finished masks. We investigated the CAR development process across different resist development methods, such as binary and fan-type nozzle spin spray, and puddle development. Several high density binary and embedded-attenuated phase shift masks (EAPSMs) with 70% clear area in the main pattern field were evaluated in an effort to identify and contain post-develop defects in a typical mask production flow. Development step process residue was examined at the after-develop inspection (ADI) step and scanning electron microscopy (SEM) was used for individual defect review. The KLA-Tencor SLF77 TeraStar inspection tool was used to inspect patterns after the development, Cr/MoSiON layer dry etch, and clean steps. The effectiveness of the various CAR development methods has been also studied following development, dry etch, and cleaning inspection by using identical binary and EAPSM masks from production. The mechanism and defect source during the stepwise process and inspections were scrutinized and discussed. Experimental results showed that stepwise process inspection was effective in identifying defects and their sources to prevent defects, and in optimizing each process step. It was found that CAR development and dry etch processes are the most important process steps to control defects in CAR-based mask production. Suggested optimized develop process parameters for 90nm-node mask


21st Annual BACUS Symposium on Photomask Technology | 2002

Dry etching characteristics of attenuated phase-shifting masks using Cl2/CF4/O2/He plasmas

Se-Jong Choi; Han-Sun Cha; Si-Yeul Yoon; Sung-Mo Jung; Sang-Soo Choi; Soo-Hong Jeong

The attenuated phase-shifting mask (Att. PSM) is one of the most useful technologies for sub-micron lithography.1) However, it is difficult to control the parameters such as phase or transmittance when a phase-shifting mask is applied to practical use. Also, to apply phase shift layer (MoSiON), it remains that affects several critical mask parameters including critical dimension (CD), sidewall slope and surface damage. So, in this paper, the effects of added Cl2 gas, DC bias voltage on the etch characteristics were studied using an inductively coupled CF4-based plasma. The plasma characteristics and etch properties of inductively coupled CF4O2He and Cl2CF4O2He Plasmas were investigated on the etch properties of MoSiON. Each added gas had a unique property on the etch rate, anisotropy, surface roughness and sidewall morphology. As the results of experiment, the most vertical profile and smooth surface were obtained using the 10 sccm Cl2, -200V dc bias. By increasing the dc bias voltage, the undercut on MoSiON layer is not occurred. When plentiful Cl2 gas was added to the CF4O2He plasma, surface roughness was decreased but the edge of Cr slope was damaged at 15 sccm Cl2. It is suggested from the results of this experiment that the pattern profile and surface roughness on MoSiON layer can be controlled by both quantity of Cl2 gas and dc self-bias voltage.


21st Annual BACUS Symposium on Photomask Technology | 2002

Evaluation of reticle cleaning performance with different drying methods for high-grade photomasks

Woo-Gun Jeong; Si-Woo Lee; Dae-Hong Kim; Young Jin Yoon; Dong-Heok Lee; Boo Yeon Choi; Sang-Soo Choi; Sung-Mo Jung; Soo-Hong Jeong

Reticle cleaning is one of the most important processes in photomask making, because the smallest particles on reticle are supposed to be printable on wafer. Moreover, the requirement for reticle cleaning is stricter, because reticle should be zero-defect and there is no killer factor on it. It is facing difficult challenges as it enters new era of 100 nm pattern, introducing DUV lithography and phase shift materials. As defect sizes are decreasing to be controlled in cleaning process, the cleaning performance depends on not only conventional chemical treatment and megasonics but also a new IPA drying method such as direct- displacement IPA vapor dry. So we investigated the cleaning performance with different IPA drying methods by using quartz, chrome, MoSiON mask blanks and several test plates with 70 percent and 30 percent quartz area in main pattern field , such as conventional IPA dry and direct-displace IPA vapor dry , catgorized particle sizes and analyzed residual elements composition after cleaning of two systems. Effectiveness of cleaning with different drying methods on HT PSMs has been also investigated by controlling phase and transmittance of KrF half-tone phase shift mask(HT PSM), within +/- 3 degrees and +/- 0.3 percent respectively. Finally, direct-displacement IPA vapor dry method with traditional chemical treatment presents better removal rate of particles than conventional IPA dry when it comes to remove the smallest particles on quartz and chrome. It is found that direct-displacement IPA vapor dry for reticle cleaning would be considered to be the alternative dry method to control the smallest particles for the high-grade photomasks.


21st Annual BACUS Symposium on Photomask Technology | 2002

Optimization of alternating phase shifting mask structure

Han-Sun Cha; Se-Jong Choi; Si-Yeul Yoon; Sung-Mo Jung; Sang-Soo Choi; Soo-Hong Jeong

Many studies have reported that the alternating phase shift mask (Alt. PSM) improves resolution and depth of focus (DOF). The purpose of this study is to investigate the influence of process latitude and optimize undercut and pre etch depth of both single trench and dual trench process employing the Solid-CMTM simulation tool for 248 nm DUV lithography system. To compensate for the imbalance intensity, we adopted the amount of undercut in the phase shifter regions of both single trench and dual trench. The results suggest that process is improved with optimized undercut for 130 nm line & space (L/S). For the single trench, we can see that with undercut of about 800 Angstrom the max intensities are equal. In the case of dual trench, the margins for image balance of 800 Angstrom and 1600 Angstrom undercuts was obtained up to 800 Angstrom, 1200 Angstrom of pre etch depth, respectively. Finally, it was found that the effect of undercut was improving the process latitude and the balance intensity of both single trench and dual trench.


21st Annual BACUS Symposium on Photomask Technology | 2002

Defects analysis of mask blanks

Dong-Heok Lee; Dae-Woo Kim; Jung-Kwan Lee; Woo-Gun Jeong; Sang-Soo Choi; Sung-Mo Jung; Soo-Hong Jeong

As photomask making procedures extend to more and more complex and difficult, the detected numbers of the quartz defects are increasing trend. These kinds of defects have been less detected frequently or not detected before. But, it can be found enough now because inspection machines are developed high resolution, short wavelength light source and low pixel size to find small size defects. Defect shapes and sizes detected by inspection machine are evaluated and classified to several types with SEM and then analyzed the wafer printing result with transmission data of the inspection and AIMS simulation result. By this analyzed result, the judge reference of the quartz defect was provided when the defect was detected by inspection machine during producing photomask. This will improve mask yield by reducing mask reject ratio classified blank mask defect problems.

Collaboration


Dive into the Soo-Hong Jeong's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Sung-Mo Jung

Kyungpook National University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge