Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dong Uk Lee is active.

Publication


Featured researches published by Dong Uk Lee.


international solid-state circuits conference | 2014

25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV

Dong Uk Lee; Kyung Whan Kim; Kwan Weon Kim; Hongjung Kim; Ju Young Kim; Young Jun Park; Jae Hwan Kim; Dae Suk Kim; Heat Bit Park; Jin Wook Shin; Jang Hwan Cho; Ki Hun Kwon; Minjeong Kim; Jae-Jin Lee; Kun Woo Park; Byongtae Chung; Sung-Joo Hong

Increasing demand for higher-bandwidth DRAM drive TSV technology development. With the capacity of fine-pitch wide I/O [1], DRAM can be directly integrated on the interposer or host chip and communicate with the memory controller. However, there are many limitations, such as reliability and testability, in developing the technology. It is advantageous to adopt a logic-interface chip between the interposer and stacked-DRAM with thousands of TSV. The logic interface chip in the base level of high-bandwidth memory (HBM) decreases the CIO, repairs the chip-to-chip connection failure, and supports better testability and improves reliability.


IEEE Journal of Solid-state Circuits | 2015

A 1.2 V 8 Gb 8-Channel 128 GB/s High-Bandwidth Memory (HBM) Stacked DRAM With Effective I/O Test Circuits

Dong Uk Lee; Kyung Whan Kim; Kwan Weon Kim; Kang Seol Lee; Sang Jin Byeon; Jae Hwan Kim; Jin Hee Cho; Jae-Jin Lee; Jun Hyun Chun

Motivated by a graphics memory system that achieves multiplied bandwidth by the number of memories per system, HBM DRAM adopts a brand new architecture, with many technical changes and challenges. The first main change in the architecture is the stacked memory structure with TSV array, which has independent bandwidth per slice. The second is semi-independent row, column command interface, which enhances effective performance. For supporting high bandwidth, this chip has fine pitch microbump interface. Methods for testing microbump are explained. 8 Gb stacked HBM is fabricated with chip-on-wafer process and tested with high-frequency wafer probing. Using chip-on-wafer test results, 128 GB/s at 1.2 V supply voltage is achieved.


international solid-state circuits conference | 2006

A 2.5Gb/s/pin 256Mb GDDR3 SDRAM with Series Pipelined CAS Latency Control and Dual-Loop Digital DLL

Dong Uk Lee; Hyun Woo Lee; Ki Chang Kwean; Young Kyoung Choi; Hyong Uk Moon; Seung Wook Kwack; Shin Deok Kang; Kwan Weon Kim; Yong Ju Kim; Young Jung Choi; Patrik B. Moran; Jin Hong Ahn; Joong Sik Kih

A series pipelined CAS latency control with voltage-controlled delay line that extends maximum data rate to 2.5Gb/s/pin at 1.7V, is presented. Other schemes applied in the DLL are dual loop control that increases power noise immunity and LPDCC that achieves low power consumption. All these schemes are implemented in a 8M times 32 device using a 0.10 mum DRAM process


international solid-state circuits conference | 2008

A 0.1-to-1.5GHz 4.2mW All-Digital DLL with Dual Duty-Cycle Correction Circuit and Update Gear Circuit for DRAM in 66nm CMOS Technology

Won-Joo Yun; Hyun Woo Lee; Dongsuk Shin; Shin Deok Kang; Ji-Yeon Yang; Hyeng Ouk Lee; Dong Uk Lee; Sujeong Sim; Young Ju Kim; Won Jun Choi; Keun Soo Song; Sang Hoon Shin; Hyang Hwa Choi; Hyung Wook Moon; Seung Wook Kwack; Jung-Woo Lee; Young Kyoung Choi; Nak Kyu Park; Kwan Weon Kim; Young Jung Choi; Jin-Hong Ahn; Ye Seok Yang

We design a DLL that has a slew-rate controlled duty-cycle-correction (DCC) with a fully digital controlled duty-cycle-error detector and has the update gear circuit to shift update mode for low power consumption. The DLL is composed of a dual loop and two types of digital DCC, at the input and output, which have a higher DCC capability when combined. We also design a clock receiver that generates a robust clock from a poor clock source.


international solid-state circuits conference | 2008

Multi-Slew-Rate Output Driver and Optimized Impedance-Calibration Circuit for 66nm 3.0Gb/s/pin DRAM Interface

Dong Uk Lee; Shin Deok Kang; Nak Kyu Park; Hyun Woo Lee; Young Kyoung Choi; Jung-Woo Lee; Seung Wook Kwack; Hyeong Ouk Lee; Won Joo Yun; Sang Hoon Shin; Kwan Weon Kim; Young Jung Choi; Ye Seok Yang

In this work, a multi-slew-rate output driver is developed to cope with the supply voltage variation and the different I/O component capacitance (denoted by CIO) condition. For accurate data transfer, it is necessary to reduce the design loss in the impedance-calibration circuit and to minimize CIO in the coded output driver. With these methods, a data rate of 3 Gb/s/pin is achieved and the shmoo plot. The micrograph of the output driver and impedance calibration circuit, which is implemented in a 66 nm 512 Mb GDDR3 SDRAM.


custom integrated circuits conference | 2015

Design considerations of HBM stacked DRAM and the memory architecture extension

Dong Uk Lee; Kang Seol Lee; Yongwoo Lee; Kyung Whan Kim; Jong Ho Kang; Jae-Jin Lee; Jun Hyun Chun

Recently, the 3D stacked memory, which is known as HBM (high bandwidth memory), using TSV process has been developed. The stacked memory structure provides increased bandwidth, low power consumption, as well as small form factor. There are many design challenges, such as multi-channel operation, microbump test and TSV connection scan. Various design methodology make it possible to overcome the difficulties in the development of TSV technology. Vertical stacking enables more diverse memory architecture than the flat architecture. The next generation of HBM focuses on not only the bandwidth but also the system performance enhancement by adopting pseudo channel and 8-Hi stacking. The architecture applied to the second generation HBM are introduced in this paper.


Archive | 2016

TEST CIRCUIT AND SEMICONDUCTOR APPARATUS INCLUDING THE SAME

Dong Uk Lee; Young Ju Kim


Archive | 2014

ADDRESS INPUT CIRCUIT OF SEMICONDUCTOR APPARATUS

Young Ju Kim; Kwan Weon Kim; Dong Uk Lee


international solid-state circuits conference | 2018

A 1.2V 64Gb 341GB/S HBM2 stacked DRAM with spiral point-to-point TSV structure and improved bank group data control

Jin Hee Cho; Jihwan Kim; Wooyoung Lee; Dong Uk Lee; Tae Kyun Kim; Heat Bit Park; Chun-Seok Jeong; Myeong-Jae Park; Seung Geun Baek; Seokwoo Choi; Byung Kuk Yoon; Young Jae Choi; Kyo Yun Lee; Daeyong Shim; Jonghoon Oh; Jinkook Kim; Seok-Hee Lee


Archive | 2017

SEMICONDUCTOR APPARATUS HAVING MULTIPLE RANKS WITH NOISE ELIMINATION

Dong Uk Lee; Kyung Whan Kim

Collaboration


Dive into the Dong Uk Lee's collaboration.

Researchain Logo
Decentralizing Knowledge