Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Douglas J. Guerrero is active.

Publication


Featured researches published by Douglas J. Guerrero.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Recent progress in 193-nm antireflective coatings

James D. Meador; Douglas J. Guerrero; Gu Xu; Xie Shao; Norman Dobson; James B. Claypool; Kelly A. Nowak

This paper presents the chemistries and properties of organic, spin-on, bottom antireflective coatings (BARCs) that are designed for 193 nm lithography. All of the BARCs are thermosetting and use dye-attached/incorporated polymers. A first generation product, NEXT, will soon be commercialized. NEXT is built form i-line and deep-UV chemistries with the polymeric constituent being a substitute novolac. This product provide outstanding resolution of 0.16 micrometers L/S with several 193 nm photoresists. Second generation chemical platforms under study include acrylics, polyesters, and polyethers with the 193 nm absorbing chromophore being an aromatic function. The performance of selected BARCs from the four platforms is described, including: optical properties, 193 nm litho, plasma etch rates, Prolith modeling data, spin-bowl and waste line compatibility, and ambient stability.


electronic imaging | 2003

Dyed red, green, and blue photoresist for manufacture of high-resolution color filter arrays for image sensors

Douglas J. Guerrero; William L. DiMenna; Tony D. Flaim; Ramil Mercado; Sam X. Sun

We are developing a set of dyed red, green, and blue color filter coatings for the fabrication of high resolution CCD and CMOS image sensor arrays. The resists contain photosensitive polymer binders and various curing agents, soluble organic dyes, and solvents. The new dyed photoresists are sensitive to i-line radiation, primarily at 365 nm, and are negative-working, requiring less than 500 mJ of exposure energy for patterning. The coatings are developed in standard Tetramethylammonium Hydroxide (TMAH) developers. Many dyes were examined in order to achieve the desired spectral properties as well as the meet the solvent solubility and thermal stability requirements. Computer modeling was utilized to determine the correct proportions of dye(s) in each resist, after which the modeling results were verified by actual formulation and testing. Thermal stability of the dyes was determined using isothermal. Thermogravimetric Analysis (TGA) at 200°C for 30 minutes. The dyes were evaluated in both traditional (free radical) and novel polymer systems to see if adequate sensitivity, resolution, and feature quality could be obtained. The studies showed that traditional free radical-based photochemistries are marginal at best for high resolution (1-2 micron) applications. To overcome this limitation, a new polymer system having photodimerizable functional units and acid functional groups was developed to impart photosensitivity and developer solubility, respectively. This system, which does not use free radical-initiated photopolymerization as a mechanism for patterning, shows low exposure dose requirements and is capable of resolving features less than 2 micron in size.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Highly absorbing ARC for DUV lithography

Edward K. Pavelchek; James D. Meador; Douglas J. Guerrero; James E. Lamb; Ajit Kache; Manuel Docanto; Timothy G. Adams; David R. Stark; Daniel Miller

The properties of a new anti-reflective coating for 248 nm lithography are described. It is formed by thermally cross-linking a spin-on organic coating, and has an absorbance greater than 12/micrometers. It is compatible with UVIIHS and APEX-E photoresists. Thin films (less than 600 angstrom over silicon substrates) are found to completely suppress standing waves, to reduce EO swing curves to less than 3%, and to offer good CD control over typical field oxide topography. The etch rate was found to be comparable to that of the APEX-E photoresist.


Proceedings of SPIE | 2007

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

Ramil-Marcelo L. Mercado; Joyce Lowes; Carlton Washburn; Douglas J. Guerrero

A novel approach to developer-soluble bottom anti-reflective coatings (BARCs) for 248-nm lithography was demonstrated. The BARC formulations are photosensitive, dye-filled systems incorporated with a polymer binder. The films are generated by thermally crosslinking the polymer matrix, and are then photochemically decrosslinked in order to render them soluble in developer solutions. The BARCs are compatible with solvents commonly used in the industry. Easy modification of the films with regard to optical properties for potential use with various substrates was also demonstrated. The BARCs exhibit anisotropic development in aqueous tetramethylammonium hydroxide (TMAH) solutions subsequent to simulated photoresist application, exposure, and post-exposure bake.


Proceedings of SPIE | 2013

Evaluating spin-on carbon materials at low temperatures for high wiggling resistance

Michael Weigand; Vandana Krishnamurthy; Yubao Wang; Qin Lin; Douglas J. Guerrero; Sean Simmons; Brandy Carr

Spin-on carbon (SOC) materials play an important role in the multilayer lithography scheme for the mass production of advanced semiconductor devices. One of the SOC’s key roles in the multilayer process (photoresist, silicon-containing hardmask, SOC) is the reactive ion etch (RIE) for pattern transfer into the substrate. As aspect ratios of the SOC material increase and feature sizes decrease, the pattern transfer from SOC to substrate by a fluorine-containing RIE induces severe pattern deformation (“wiggling”), which ultimately prevents successful pattern transfer into the substrate. One process that reduces line wiggling is a high-temperature (>250°C) post-application bake of the SOC material. In this study, we developed a process for evaluating SOC materials with respect to their pattern transfer performance. This process allowed us to evaluate line-wiggling behavior with several SOC materials at lower bake temperatures. This paper will discuss novel materials design in relation to high-aspect-ratio SOC layers and wiggling resistance.


Proceedings of SPIE | 2013

Multifunctional hardmask neutral layer for directed self-assembly (DSA) patterning

Douglas J. Guerrero; Mary Ann Hockey; Yubao Wang; Eric Calderas

Micro-phase separation for directed self-assembly (DSA) can be executed successfully only when the substrate surface on which the block co-polymer (BCP) is coated has properties that are ideal for attraction to each polymer type. The neutral underlayer (NUL) is an essential and critical component in DSA feasibility. Properties conducive for BCP patterning are primarily dependent on “brush” or “crosslinked” random co-polymer underlayers. Most DSA flows also require a lithography step (reflection control) and pattern transfer schemes at the end of the patterning process. A novel multifunctional hardmask neutral layer (HM NL) was developed to provide reflection control, surface energy matching, and pattern transfer capabilities in a grapho-epitaxy DSA process flow. It was found that the ideal surface energy for the HM NL is in the range of 38-45 dyn/cm. The robustness of the HM NL against exposure to process solvents and developers was identified. Process characteristics of the BCP (thickness, bake time and temperature) on the HM NL were defined. Using the HM NL instead of three distinct layers – bottom anti-reflective coating (BARC) and neutral and hardmask layers – in DSA line-space pitch tripling and contact hole shrinking processes was demonstrated. Finally, the capability of the HM NL to transfer a pattern into a 100-nm spin-on carbon (SOC) layer was shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Resist double patterning on BARCs and spin-on multilayer materials

Douglas J. Guerrero; Daniel M. Sullivan; Ramil-Marcelo L. Mercado

Many approaches to double patterning have been devised, of which most have been designed to reduce the number of process steps. The litho-freeze-litho-etch process (LFLE) is one such technique that eliminates the first etch step from the standard litho-etch litho-etch (LELE) process. The resist freeze material chemically modifies the patterned photoresist, as well as potentially the layer beneath, which may result in a performance change at the second lithography step. Another approach, litho-process-litho-etch (LPLE) does not involve the use of a chemical freeze material, instead relying on a thermal treatment to remove excess solvent from the polymer and differential energy of activation between two resists to create a double-patterned image. Finally, double patterning using negative-tone development of a positiveacting photoresist is another approach in consideration. In this paper, we present the results of several double-patterning processes on organic bottom anti-reflective coatings (BARCs) and spin-on multilayer stacks consisting of a silicon hardmask on top of a carbon underlayer. Pattern profiles of the first and second lithography steps are compared.


Proceedings of SPIE | 2012

EUV assist layers for use in multilayer processes

Tantiboro Ouattara; Carlton Washburn; Aline Collin; Vandana Krishnamurthy; Douglas J. Guerrero; Michael Weigand

Extreme ultraviolet (EUV) exposure is among the front-runners for single-exposure lithography for the 16-nm node and below. Previous work has shown that assist layers are critical for performing EUV lithography. Assist layers enhance the adhesion of EUV photoresists, block substrate contamination, and improve the resolution, line width roughness, and sensitivity (RLS) trade-off. As we progress from development to manufacturing, materials must mature to align with industry needs. To bring devices produced using EUV lithography to reality, a trilayer process is the best and most flexible option. The requirements for a trilayer process include utilizing assist layers with a good etch selectivity to the carbon-rich etch transfer layer (ETL) and to the photoresist coupled with good RLS performance. In this paper, we report the study of new assist layers made with novel resins that have shown superior lithography performance, as well as high etch selectivity to both the ETL and the photoresist. We have demonstrated how to significantly improve the lithography with the benefits of the pattern transfer requirements for trilayer processing.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Acid-degradable hyperbranched polymer and its application in bottom anti-reflective coatings

Ramil-Marcelo L. Mercado; Hao Xu; Joyce Lowes; Jim D. Meador; Douglas J. Guerrero

A photosensitive developer-soluble bottom anti-reflective coating (DBARC) system is described for KrF and ArF lithographic applications. The system contains an acid-degradable branched polymer that is self-crosslinked into a polymeric film after spin coating and baking at high temperature, rendering a solvent-insoluble coating. The DBARC coating is tunable in terms having the appropriate light absorption (k value) and thickness for desirable reflection control. After the exposure of the resist, the DBARC layer decrosslinks into developer-soluble small molecules in the presence of photoacid generator (PAG). Thus the DBARC layer is removed simultaneously with the photoresist in the development process, instead of being etched away in a plasma-etching chamber in the case of traditional BARC layers. The etch budget is significantly improved so that a thin resist can be used for better resolution. Alternatively, the etch step can be omitted in the case of the formation of layers that may be damaged by exposure to plasma.


Proceedings of SPIE | 2014

Extending lithography with advanced materials

Douglas J. Guerrero

Material evolution has been a key enabler of lithography nodes in the last 30 years. This paper explores the evolution of anti-reflective coatings and their transformation from materials that provide only reflection control to advanced multifunctional layers. It is expected that complementary processes that do not require a change in wavelength will continue to dominate the development of new devices and technology nodes. New device architecture, immersion lithography, negative-tone development, multiple patterning, and directed self-assembly have demonstrated the capabilities of extending lithography nodes beyond what anyone thought would be possible. New material advancements for future technology nodes are proposed.

Collaboration


Dive into the Douglas J. Guerrero's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tony D. Flaim

Missouri University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

David Drain

Missouri University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Harlan U. Anderson

Missouri University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Michelle R. Fowler

Missouri University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Vladimir Petrovsky

Missouri University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

William Joseph James

Missouri University of Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge