Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daniel Miller is active.

Publication


Featured researches published by Daniel Miller.


Advances in Resist Technology and Processing XII | 1995

Nonconstant diffusion coefficients: short description of modeling and comparison to experimental results

John S. Petersen; Chris A. Mack; John L. Sturtevant; Jeff D. Byers; Daniel Miller

Chemical changes within a resist material (for example, resulting from the exposure and subsequent chemical reactions during post exposure bake) will in general, result in a change in diffusivity of components within that material. In the case of positive chemically amplified resists, the diffusivity of the photo-generated acid changes as a function of the extent of polymer deprotection. The deprotection reaction leads to the generation of small reaction product molecules, some of which are volatile. The liberation of these reaction products causes an increase in the free volume and changes in the chemical behavior in the exposed area. These changes, primarily the increase in free volume, results in an increase in the diffusivity of the acid. Low exposure areas have lower acid diffusivity, leading to a lower efficiency of reaction. This results in a contrast enhancement of the latent image due to the concentration dependent diffusivity of the acid. In this paper, a concentration dependent diffusivity expression is incorporated into a lithography simulator to explore these effects on lithographic performance. Using the assumption of free volume, suitable expressions for the diffusivity are examined and compared to experimentally measured values. The experimental work consists of XP-9402 positive acting, chemically amplified resist that was imaged using different thermal doses.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Highly transparent resist platforms for 157-nm microlithography: an update

Vaishali R. Vohra; Katsuji Douki; Young-Je Kwark; Xiang-Qian Liu; Christopher K. Ober; Young C. Bae; Will Conley; Daniel Miller; Paul Zimmerman

Hexafluoroisopropyl alcohol-functionalized acrylate monomers and their (co)polymers were prepared as photoresist platforms for 157 nm imaging. In order to balance transparency with other desirable traits such as etch resistance, we developed several copolymer systems. One is using 2-methyl adamantyl methacrylate as a comonomer, and the copolymer system showed better dissolution contrast compared to the copolymer with tetrahydropyranyl methacrylate without sacrificing transparency. To further improve the absorption properties at 157 nm, monomers having (alpha) -trifluoromethyl group were prepared and polymerized in anionic mechanism. The product polymer was unexpectedly transparent at 157 nm (A = 1.6 micrometers -1) in spite that all the monomers contain carbonyl group. The second system is the copolymer with p-t-butoxy-tetrafluorostyrene. p-Hydroxy-tetrafluorostyrene and p-t-butoxy-tetrafluorostyrene were polymerized radically using AIBN in good yield, and the two resulting polymers showed distinctive solubility differences in aqueous base solution. Finally, this paper describes the synthesis of new monomers having fluorine (e.g CF3- group) in the vicinity of the double bond to improve transparency at 157 nm. Due to the lower electron density of the double bond, these monomers can be copolymerized with electron-rich vinyl monomers using radical initiators.


26th Annual International Symposium on Microlithography | 2001

Photoresist outgassing at 157 nm exposure

Stefan Hien; Steve Angood; Dominic Ashworth; Steve Basset; Theodore M. Bloomstein; Kim Dean; Roderick R. Kunz; Daniel Miller; Shashikant Patel; Georgia K. Rich

Contamination of optical elements during photoresist exposure is a serious issue in optical lithography. The outgassing of photoresist has been identified as a problem at 248nm and 193nm in production because the organic films that can be formed on an exposure lens can cause transmission loss and sever image distortion. At these exposure energies, the excitation of the photo acid generator, formation of acid, and cleavage of the protecting group are highly selective processes. At 157nm, the exposure energy is much higher (7.9 eV compared to 6.4 eV at 193nm) and it is known from laser ablation experiments that direct laser cleavage of sigma bonds occurs. The fragments formed during this irradiation can be considered as effective laser deposition precursors even in the mid ppb level. In this study, methods to quantify photoresist outgassing at 157 nm are discussed. Three criteria have been set up at International SEMATECH to protect lens contamination and to determine the severity of photoresist outgassing. First, we measured film thickness loss as a function of exposure dose for a variety of materials. In a second test we studied the molecular composition of the outgassing fragments with an exposure chamber coupled to a gas chromatograph and a mass spectrometer detector. Our third method was a deposition test of outgassing vapors on a CaF2 proof plate followed by analysis using VUV and X-ray photoelectron spectroscopies (XPS). With this technique we found deposits for many different resists. Our main focus is on F- and Si- containing resists. Both material classes form deposits especially if these atoms are bound to the polymer side chains. Whereas the F-containing films can be cleaned off under 157nm irradiation, cleaning of Si-containing films mainly produces SiO2. Our cleaning studies of plasma deposited F-containing organic films on SiO2 did not indicate damage of this surface by the possible formation of HF. Despite that we strongly recommend engineering measures to overcome contamination by resist, such as optimizing the purge flow between the final lens element and wafer surface or utilization of a lens pellicle.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Highly absorbing ARC for DUV lithography

Edward K. Pavelchek; James D. Meador; Douglas J. Guerrero; James E. Lamb; Ajit Kache; Manuel Docanto; Timothy G. Adams; David R. Stark; Daniel Miller

The properties of a new anti-reflective coating for 248 nm lithography are described. It is formed by thermally cross-linking a spin-on organic coating, and has an absorbance greater than 12/micrometers. It is compatible with UVIIHS and APEX-E photoresists. Thin films (less than 600 angstrom over silicon substrates) are found to completely suppress standing waves, to reduce EO swing curves to less than 3%, and to offer good CD control over typical field oxide topography. The etch rate was found to be comparable to that of the APEX-E photoresist.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Dissolution inhibitors for 157-nm microlithography

Will Conley; Daniel Miller; Charles R. Chambers; Brian P. Osborn; Raymond J. Hung; Hoang Vi Tran; Brian C. Trinque; Matthew J. Pinnow; Takashi Chiba; Scott Arthur Mcdonald; Paul Zimmerman; Ralph R. Dammel; Andrew R. Romano; C. Grant Willson

Fluorocarbon based polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157nm resist materials based on transparent dissolution inhibitors. These 2 component resist systems have been prepared and preliminary imaging studies at 157nm are described. Several new approaches to incorporating these transparent monomers into functional polymers have been investigated and are described. The lithographic performance of some of these polymers is discussed.


Advances in Resist Technology and Processing XX | 2003

Negative photoresist for 157-nm microlithography: a progress report

Will Conley; Brian C. Trinque; Daniel Miller; Stefan Caporale; Brian P. Osborn; Shiro Kumamoto; Matthew J. Pinnow; Ryan P. Callahan; Charles R. Chambers; Guen Su Lee; Paul Zimmerman; C. Grant Willson

The design of 157 nm photoresists is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies1 led to the observation that fluorinated hydrocarbons offer the best hope for the transparency that is necessary for the design of an effective 157nm photoresist, and these classes of materials have quickly become the prominent platforms for a variety of research activities in this field. Our approach to the design of the resist polymer requires identification of a backbone that tethers the functional substituents and provides basic mechanical properties, an etch barrier that provides RIE resistance, an acidic group that permits solubility in tetramethylammonium hydroxide (TMAH) developer. Fluorocarbon polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. Numerous authors have discussed negative photoresists over the years. There are many uses for such materials at various levels in a semiconductor device. One such use is with complementary phase shift mask thus eliminating the need for a second exposure step. This paper reports our recent progress toward developing a negative 157nm resist materials based on fluoropolymers with crosslinkers that are transparent at 157nm. The authors will report on the synthesis of the polymers used in this work along with the crosslinkers and other additives used in the formulation of the photoresist. Imaging experiments at practical film thicknesses at 157nm with binary and strong phase shifting masks will be shown demonstrating imaging capabilities. Spectroscopic data demonstrating chemical mechanisms and material absorbance will be shown along with other process related information


SPIE's 27th Annual International Symposium on Microlithography | 2002

Advances in resists for 157-nm microlithography

Brian C. Trinque; Brian P. Osborn; Charles R. Chambers; Yu-Tsai Hsieh; Schuyler Boon Corry; Takashi Chiba; Raymond J. Hung; Hoang Vi Tran; Paul Zimmerman; Daniel Miller; Will Conley; C. Grant Willson

The synthesis and characterization of several new fluoropolymers designed for use in the formulation of photoresists for exposure at 157 nm will be described. The design of these resist platforms is based on learning from previously reported fluorine-containing materials. We have continued to explore anionic polymerizations, free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide in theses studies. The monomers were characterized by vacuum-UV (VUV) spectrometry and polymers characterized by variable angle spectroscopic ellipsometry (VASE). Resist formulations based on these polymers were exposed at the 157 nm wavelength to produce high-resolution images. The synthesis and structures of these new materials and the details of their processing will be presented.


Advances in Resist Technology and Processing XX | 2003

Fluoropolymer resists for 157 nm lithography

Vaishali R. Vohra; Xiang-Qian Liu; Katsuji Douki; Christopher K. Ober; Will Conley; Paul Zimmerman; Daniel Miller

Fluoropolymers have been shown to be one of the best materials for high transparency of 157 nm wavelength radiation. Both resists and pellicles are being designed from such materials. One of our approaches to improved transparency for 157 nm resists is based upon fluorinated variations of polymethacrylate and polyhydroxystyrene derivatives. Lithographic studies were carried out on experimental resist platforms using 157 nm and 248 nm steppers, and it was shown that, after selective modification, it is possible to use conventional resist backbones, such as acrylic or styrenic, in the design of single-layer resists for 157 nm lithography. It has been demonstrated in our studies that 157 nm absorbance of these materials can be as low as 1.5-2.0μm-1. Another approach to 157 nm resist design is based upon fluorinated backbone variations. Research will be described focusing on several new monomers having fluorine functions such as -F and -CF3 groups near a polymerizable double bond to improve transparency at 157 nm and to raise the resist glass transition temperature compared to their hydrocarbon analogues. Due to the lower electron density of the double bond, these monomers can be copolymerized with electron-rich vinyl monomers. As an extension to this strategy, we are synthesizing novel fluoropolymers having partially fluorinated monocyclic structures with radical cyclo-polymerization. These polymers have the C-F bond on the polymer main chain and also possess acid labile groups as part of a ring structure to eliminate degassing. In order to further enhance the transparency of these systolic polymers at 157 nm, we have eliminated the carbonyl group. The cyclic nature of the polymer will result in a high glass transition temperature.


26th Annual International Symposium on Microlithography | 2001

Transparent resins for 157-nm lithography

Ralph R. Dammel; Raj Sakamuri; Andrew R. Romano; Richard Vicari; Cheryl Hacker; Will Conley; Daniel Miller

The development of sufficiently transparent resin systems is one of the key elements required for a successful and timely introduction for 157 nm lithography. This paper reports on the Simple Transmission Understanding and Prediction by Incremental Dilution (STUPID) model, a quick back-of-the-envelope increment scheme to estimate the absorption of polymers at 157 nm. A number of promising candidate resins based on norbornenes are discussed, and results with a first 157 nm resin system developed at the University of Austin are presented. The new system is based on copolymers of norbornene-5-methylenehexafluoroisopropanol (NMHFA) and t-butyl norbornene carboxylate (BNC), formulated with an acetal additive obtained by copolymerization of t-butyl norbornene-5-trifluoromethyl-5-carboxylate (BNTC) with carbon monoxide. Lithographic performance of this system extends to 110 nm dense features using standard illumination and a binary mask, or 80 nm semi-dense and 60 nm isolated features with a strong phase shift mask. The dry etch resistance of this resist is found to be slightly lower than APEX-E DUV resist for polysilicon but superior to it for oxide etches.


23rd Annual International Symposium on Microlithography | 1998

Optimization of etch conditions for a silicon-containing methacrylate-based bilayer resist for 193-nm lithography

Thomas Steinhaeusler; Allen H. Gabor; Daniela White; Andrew J. Blakeney; David R. Stark; Daniel Miller; Georgia K. Rich; Victoria L. Graffenberg; Kim Dean

The 193 nm photoresist generation will need several technological approaches in order for it to be successfully integrated into manufacturing. These approaches include bilayer, single layer and top surface imaging resists. Bilayer resists offer the advantages of thin film imaging (resolution, depth of focus) and potential advantages in plasma etch resistance due to the possibility of incorporating aromatic components into the undercoat. We have developed a prototype bilayer resist system based on a silicon containing methacrylate imageable layer and a crosslinked styrenic copolymer undercoat which has shown 0.13 micrometers resolution. In this paper we will discuss the effects of O2-RIE and polysilicon etch on resist and substrate profile, selectivity and iso-dense resist.

Collaboration


Dive into the Daniel Miller's collaboration.

Top Co-Authors

Avatar

Will Conley

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Brian C. Trinque

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge