Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where E. Todd Ryan is active.

Publication


Featured researches published by E. Todd Ryan.


Journal of Applied Physics | 2008

Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage

E. Todd Ryan; Stephen M. Gates; Alfred Grill; Steven E. Molis; Philip L. Flaitz; John C. Arnold; Muthumanickam Sankarapandian; S. Cohen; Yuri Ostrovski; Christos D. Dimitrakopoulos

The resistance to plasma-induced damage of various nanoporous, ultra low-κ porous SiCOH films used as interconnect dielectric materials in integrated circuits was studied. These films are susceptible to damage by plasma processes used during nanofabrication. The dielectric constants and chemical compositions of four dielectric films were correlated with measured amounts of plasma damage. Films deposited with higher carbon content in the form of Si–CH3 and Si(CH3)2 bonding exhibited less plasma damage than similar films with lower carbon content.


Applied Physics Letters | 2002

Depth-profiling plasma-induced densification of porous low-k thin films using positronium annihilation lifetime spectroscopy

Jianing Sun; David W. Gidley; Yifan Hu; W. E. Frieze; E. Todd Ryan

Positronium annihilation lifetime spectroscopy (PALS) has been used to depth profile the densification induced in a porous low-dielectric constant (k) thin film by typical device integration processing, including exposure to plasmas and oxygen ashing. Such “integration damage” has previously been observed as an undesirable increase in k accompanied by shrinkage in the porous film thickness. PALS confirms that the structural damage is confined to a surface layer of collapsed pores with the underlying pores being undamaged. The dense layer thickness determined by PALS increases with plasma exposure time.


Journal of Materials Research | 2001

Effect of material properties on integration damage in organosilicate glass films

E. Todd Ryan; Jeremy I. Martin; Kurt H. Junker; Jeff Wetzel; David W. Gidley; Jianing Sun

Most organosilicate glass1 (OSG), low dielectric constant (low-k) films contain Si–R groups, where R is an organic moiety such as –CH3. The organic component is susceptible to the chemically reactive plasmas used to deposit cap layers, etch patterns, and ash photoresist. This study compares a spin-on, mesoporous OSG film with a completely connected pore structure to both its nonmesoporous counterpart and to another low-density OSG film deposited by plasma-enhanced chemical vapor deposition. The results show that the film with connected pores was much more susceptible to integration damage than were the nonmesoporous OSG films. As integrated circuit device and interconnect dimensions continue to scale smaller, low dielectric constant (k) interlayer dielectric (ILD) materials will become necessary to mitigate RC (product of resistance and capacitance) propagation delay and reduce power consumption and crosstalk. Lowering the k-value of a material requires either altering the chemical bonding to reduce the bond polarizability or decreasing the number of bonds (density) in a material. To reduce the k-value below 2.2, most dielectric materials will require a density decrease by introducing free volume (micropores < 2 nm in diameter) or mesoporosity (2–50 nm diameter pores). Unfortunately, lowering the density also compromises the mechanical strength and other properties of the material. The material properties of mesoporous dielectric films, such as connected pores and low mechanical strength, create a host of integration problems including integration damage to the film. The Si–R groups make organosilicate glass (OSG) films hydrophobic and they lower the density by breaking up the tetrahedral Si–O bonding. However, the carbon component is susceptibleto degradation when exposed to the reactive plasmas used for capping, etching, and ashing processes, especially oxidizing plasmas that induce silanol formation. Such plasma-induced chemical modifications can cause film densification, dangling bonds and defects, and moisture uptake. Recently, International SEMATECH monitored several OSG films for change in k caused by integration damage (ID) while integrating the films into SEMATECH’s Cu/Damascene test chip using their standard processing flow (Table I). Mesoporous OSG films with connected pores exhibited a large increase in k due to ID during integration. In contrast, nonmesoporous OSG films showed much smaller changes in k. Thus, connected mesoporosity appears to facilitate film damage during processing by allowing reactive species to more easily penetrate the film. Various plasma pretreatments (PPT) have been reported to form densified and chemically modified interface layers on OSG films, and these skin layers can prevent film damage by photoresist ash processes. This study reports the effects of oxygen and nitrogenbased plasmas on one mesoporous and two nonmesoporous blanket films. To our knowledge, this is the first direct demonstration that a mesoporous film is more susceptible to ID than its nonmesoporous counterpart. OSG-1 is a proprietary spin-on mesoporous methylsilsesquioxane (MSQ)-based film where porosity is created by thermal decomposition of a porogen that is incorporated into the MSQ-based film. The mesoporosity of OSG-1 is about 58%. Positronium annihilation lifetime spectroscopy (PALS) determined that the average pore diameter is 2.5 nm and that the pores are 80–100% connected to the surface of the film. OSG-1 was deposited using a TEL Mark-8 spin-coater and oven-cured at 425 °C. OSG-2 is the nonmesoporous version of OSG-1 deposited with the same MSQ-based precursor but without the porogen. OSG-2 was spin-deposited and cured for less time at 450 °C by the supplier. The MSQ-based precursor for both OSG-1 and OSG-2 contains approximately 12% carbon. OSG-3 is a trimethylsilane-based, plasma-enhanced chemical vapor deposited (PECVD) Address all correspondence to this author. e-mail: [email protected]


international reliability physics symposium | 2012

CPI challenges to BEOL at 28nm node and beyond

Vivian W. Ryan; Dirk Breuer; Holm Geisler; Dimitri R. Kioussis; Matthias Lehr; Jens Paul; Kashi Vishwanath Machani; Chirag Shah; Sven Kosgalwies; Lothar Lehmann; Jaesik Lee; Frank Kuechenmeister; E. Todd Ryan; Kamal Karimanal

We address package-induced degradation of BEOL interconnects and approaches for recovery. For dielectrics, we cover process options and position in stack for ULK films and how these lead to differences in strength. Experiments were designed to cross-compare multiple methods to test susceptibility of BEOL interconnect to CPI damage. We also address how Chip Package Interaction changes as BEOL features and layout evolve.


Applied Physics Letters | 2010

Observation of space charge limited current by Cu ion drift in porous low-k/Cu interconnects

LiangShan Chen; Woong Ho Bang; Young Joon Park; E. Todd Ryan; Sean King; Choong Un Kim

This letter reports the observation of the space charge limited current (SCLC) induced by injection and drift of Cu ions into porous low-k dielectrics. The SCLC, characterized by the momentary rise and fall of current with time, is found in all Cu interconnects having defective Ta barrier while it is absent in interconnects with intact barrier. This observation, combined with existing model on SCLC, leads to the conclusion that Cu ions can be injected through defects in Ta barrier and drift under electric field with the mobility as high as an order of 10−13 cm2/sec V at room temperature.


Journal of The Electrochemical Society | 2007

Line Resistance and Electromigration Variations Induced by Hydrogen-Based Plasma Modifications to the Silicon Carbonitride/Copper Interface

E. Todd Ryan; Jeremy I. Martin; Griselda Bonilla; Ste Ven Molis; Terry A. Spooner; Johnny Widodo; Jae-Hak Kim; E. Liniger; Alfred Grill; Chao-Kun Hu

This paper reports a detailed study of several hydrogen-based plasma cleans prior to plasma-enhanced chemical vapor deposition of silicon carbonitride cap films, and it finds a tradeoff between improved electromigration and increased copper resistivity. Previously proposed mechanisms do not explain this tradeoff, and we propose an alternative mechanism for the cap/copper interface modification. Electromigration is improved by forming a copper silicide interfacial layer, but the copper resistivity is also increased by silicon diffusion into the copper from the cap/copper interface. Hydrogen-based plasmas generate silicon by reacting with the silicon nitride seasoning layer on the chamber surfaces and transporting the silicon to the copper surface. The transport of silicon can be prevented by adding nitrogen to the plasma or removing the seasoning layer.


international interconnect technology conference | 2016

Ruthenium interconnect resistivity and reliability at 48 nm pitch

Xunyuan Zhang; H. Huang; Raghuveer Patlolla; Wei Wang; Juntao Li; Chao-Kun Hu; E. Liniger; Paul S. McLaughlin; Cathy Labelle; E. Todd Ryan; Donald F. Canaperi; Terry A. Spooner; Griselda Bonilla; Daniel C. Edelstein

48 nm pitch dual damascene interconnects are patterned and filled with ruthenium. Ru interconnect has comparable high yield for line and via macros. Electrical results show minimal impact for via resistance and around 2 times higher line resistance. Resistivity and cross section area of Ru interconnects are measured by temperature coefficient of resistivity method and the area was verified by TEM. Reliability results show non-failure in electromigration and longer time dependent dielectric breakdown. Based on the data collected, Ru could be a metallization contender at linewidth of 16 nm and below.


Journal of Applied Physics | 2014

Effect of low-frequency radio frequency on plasma-enhanced chemical vapor deposited ultra low-κ dielectric films for very large-scale integrated interconnects

E. Todd Ryan; Stephen M. Gates; S. Cohen; Yuri Ostrovski; Edward E. Adams; Kumar Virwani; Alfred Grill

The addition of a low frequency RF (LFRF) component during plasma-enhanced chemical vapor deposition of porous SiCOH ultra low-κ films allowed for the incorporation of higher carbon content without lowering the Youngs modulus or increasing the dielectric constant. The porous SiCOH films typically contain carbon bonded into the silica matrix primarily as Si(CH3)x species. The low frequency RF increased the total carbon content by adding CH2 and –CH = CH- species with some reduction of Si(CH3)x species. It also altered the SiOx bonding structure by increasing network SiOx bonding at the expense of the suboxide, indicating an increase in SiOx crosslink density. Although higher carbon content usually lowers the modulus of porous SiCOH films, the modulus of the higher carbon films generated by LFRF did not decrease because of their increased network SiOx bonding.


Proceedings of SPIE | 2012

SADP for BEOL using chemical slimming with resist mandrel for beyond 22nm nodes

Linus Jang; Sudhar Raghunathan; E. Todd Ryan; Jongwook Kye; Harry J. Levinson; Shannon Dunn; David Hetzer; Shinichiro Kawakami; Lior Huli

The fundamental limits of optical lithography have driven semiconductor processing research to push the envelope. Double patterning (DP) techniques including litho-etch litho-etch (LELE), litho-litho etch (LLE), and self-aligned double patterning (SADP) have become standard vernacular for near term semiconductor processing as EUV is not yet ready for high volume production. The challenge, even with techniques like LLE and SADP, remains that printing small lines on tight pitches (for LLE) or even small lines on relaxed pitches for mandrel/spacer combinations is not trivial. We have demonstrated a track-based slimming technique that can produce sub-25 nm resist lines for either SADP or LLE DP processes. Our work includes results for varying shrink amounts at different target critical dimensions (CD) and for multiple pitches. We also investigated CD uniformity (CDU) and defectivity. In particular, optimization of the amount of slimming is critical as it allows for much greater process latitude at the lithography step. In addition to the lithography work, we have continued the processing for both integration schemes to include oxide deposition and etch for SADP and through etch performance for DP. We have demonstrated sub 45 nm pitch structures. The wide variety of process uses, as well as the ability to achieve a large range of shrink amounts shows that track based slimming is a viable solution to achieve target CD and pitch values for sub 22 nm technology node.


Applied Physics Letters | 2013

Study of viscoplastic deformation in porous organosilicate thin films for ultra low-k applications

Emil Zin; Woong Ho Bang; E. Todd Ryan; S. W. King; Choong Un Kim

This letter reports experimental observations evidencing the viscoplasticity of porous organosilicate glass thin films under conditions pertinent to their application in advanced low-k/Cu interconnect technology. Specifically, it is found that porous SiCOH thin films exhibit a significant level of viscoplasticity with a rate sensitive to the porosity, the degree of plasma damage, and hydration reaction when tested using a ball indenter at 150–400 °C. The activation energy of the viscosity (1.25–1.45 eV) is measured to be far lower than the bulk glass (>4 eV), suggesting that the viscous flow is affected by the presence of defective bond-network such as Si–OH or Si–H bonds.

Collaboration


Dive into the E. Todd Ryan's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jianing Sun

University of Michigan

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge