Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eun-Sang Park is active.

Publication


Featured researches published by Eun-Sang Park.


international conference on electrical machines and systems | 2015

Speed-sensorless control for permanent magnet synchronous motor using MRAS method with reduced order observer

Eun-Sang Park; Kyoung-Jin Joo; In-Soon Kim; Hyung-Woo Lee; Ju Lee

Permanent magnet synchronous motor (PMSM) is required to the speed and position information for motor speed and torque controls. However, to apply the sensors has many problems that are sensor mounting space and additional cost, etc. Therefore, in this paper, sensorless control method based on model reference adaptive system (MRAS) is proposed to eliminate the sensors. The method is constructed in 2 models that are a reference block as standard model and an adaptive block as the state observer model. The proposed control scheme is verified by the simulation.


Japanese Journal of Applied Physics | 2018

Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

Chung-Hyun Ban; Eun-Sang Park; Jae-Hun Park; Hye-Keun Oh

This work was supported by the Future Semiconductor Device Technology Development Program #10052714 funded by MOTIE (Ministry of Trade, Industry & Energy) and KSRC (Korea Semiconductor Research Consortium).


Extreme Ultraviolet (EUV) Lithography IX | 2018

Thermomechanical Changes of EUV Mask and Absorber Dependency

Sunggyu Lee; Chung-Hyun Ban; Eun-Sang Park; Jae-Hun Park; Hye-Keun Oh

Thermal and structural deformations of extreme ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness change. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the mask rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. Therefore, it is necessary to predict and optimize the effect of energy transmitted from the extreme ultraviolet (EUV) light source and the resultant patterns of complex multilayer structured EUV masks. Our study shows that temperature accumulation and deformation of the EUV mask are dependent on the absorber structure.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Thermo-mechanical behavior analysis of extreme-ultraviolet pellicle cooling with H 2 flow

Myung-Gi Kang; Sunggyu Lee; Eun-Sang Park; Hye-Keun Oh

To protect the extreme-ultraviolet (EUV) mask from contaminations, the EUV pellicle is required. Internal temperature of EUV pellicle is increased during exposure process and then, thermal stress is also varied owing to increased temperature of EUV pellicle, so that the EUV pellicle will be broken. The cooling system by hydrogen gas (H2) flow is used to reduce internal temperature of EUV pellicle during exposure process. In order to determine the effect of cooling, we simulated variation of temperature and thermal stress for EUV pellicle membranes by using finite element method (FEM). Also, we considered a film coefficient with a few nanometer EUV pellicle thickness as simulation parameter. As a result, we determined that the cooling system of EUV pellicle by using H2 flow is efficient to decrease temperature and thermal stress of EUV pellicle during exposure process.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Lifetime estimation of extreme-ultraviolet pellicle at 500 W source power by thermal stress analysis

Eun-Sang Park; Chung-Hyun Ban; Hye-Keun Oh; Jae-Hun Park

The analysis of the thermal stress and the extreme-ultraviolet (EUV) pellicle is important since the pellicle could be easily damaged since the thickness of the pellicle is 50 nm thin due to 90% required EUV transmission. One of the solution is using a high emissivity metallic material on the both sides of the pellicle and it can lower the thermal stress. However, using a metallic coating on pellicle core which is usually consist of silicon group can decrease the EUV transmission compared to using a single core layer pellicle only. Therefore, we optimized thermal and optical properties of the pellicle and elect three types of the pellicle. In this paper we simulated our optimized pellicles with 500W source power. The result shows that the difference of the thermal stress is small for each case. Therefore, our result also shows that using a high emissivity coating is necessary since the cooling of the pellicle strongly depends on emissivity and it can lower the stress effectively even at high EUV source power.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Lifetime impact on residual stress of EUV pellicle

Min-Woo Kim; Sunggyu Lee; Eun-Sang Park; Hye-Keun Oh

Since EUV pellicle is very thin, It can be affected easily on its manufacturing process or the exposure process. The Pellicle has several types of stress, above all the pellicle has a residual stress from its manufacturing process. To determine the effect of residual stress on the pellicle, we calculated residual stress of several types of multi-layer pellicle by using formula. We could confirm that the residual stress has non-negligible values through the calculation results, and we obtained the thermal stress of each pellicle by using finite element method (FEM). we optimized the pellicle through comparison of total stress by plus the calculated residual stress and the thermal stress. As a result, since the p-Si core pellicle with B4C capping satisfies both high transparent and low total stress, we chose p-Si core pellicle with B4C capping as a suitable pellicle.


Proceedings of SPIE | 2016

Stress-induced pellicle analysis for extreme-ultraviolet lithography

Eun-Sang Park; Min-Ha Kim; Sollee Hwang; Jung Hwan Kim; Hye-Keun Oh

The defect on the extreme ultraviolet (EUV) mask can cause image quality degradation on the wafer and also poses a serious problem for achieving high volume manufacturing (HVM). Using a pellicle could decrease the critical size of a defect by taking the defect away from the focal plane of a mask. Considering the double pass transmission for the thickness of extreme ultraviolet lithography EUVL pellicle should be ~ nm thin. For ~ nm thin pellicle, the thermal stress by EUV light exposure may damage the pellicle. Therefore, an investigation of thermal stress is desired for reliable EUV light transmission through pellicle. Therefore, we calculated the total stress and compared with material maximum stress of the pellicle. Breaking or the safety of the pellicle could be determined by the induced total stress, however, the cyclic exposure heating could decrease the material maximum stress of the pellicle. The c-Si (crystalline silicon) has good mechanical durability than the p-Si (poly-crystalline silicon) under cyclic thermal exposure.


Photomask Technology 2016 | 2016

Mechanical stress induced by external forces in the extreme ultraviolet pellicle

Hyunju Lee; Eun-Sang Park; In-Seon Kim; Hye-Keun Oh

EUV pellicle with very thin thickness is significantly affected when external forces are applied. The mechanical forces such as chamber-pellicle pressure difference and stage acceleration cause the mechanical stress in pellicle. We investigated the maximum stress that can be induced by the pressure difference for various materials by using finite element method (FEM). We also used theoretical model and FEM for predicting the pellicle deformation. Our results show the mechanical deformation and the stress of full size (152 × 120 mm2) pellicle with 50 nm thickness, and the influence of the pellicle is increased with larger pressure difference. We also studied the maximum stress caused by the acceleration force of the scanner. The full size pellicle is greatly influenced with the specific pulse width causing resonance. Our study indicates that mechanical stress with acceleration is very small and can be ignored.


Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology | 2016

The impact of the residual stress on the EUV pellicle

Eun-Sang Park; Jae-Keun Choi; Min-Ha Kim; Sollee Hwang; Zahid Hussain Shamsi; Dai-Gyoung Kim; Hye-Keun Oh

High resolution patterning on the chip could be achieved by extreme ultraviolet lithography (EUVL). However, the defect on the mask becomes more important issue with very short wavelength (13.5 nm). Using the pellicle which could protect the mask from the defects can support high volume manufacturing (HVM). Most of the materials considered for pellicle have relatively high extinction coefficient in EUV region. Therefore, the thickness of the pellicle should be ~ nm thin. The stress of the pellicle is dependent not only on the temperature but also on the mechanical properties of the pellicle. The stress induced by the gravity was small compared to the thermal stress. However, the residual stress should be also considered since it is dependent on the pellicle manufacturing environment and this stress is comparable with the thermal stress. Our result shows the importance of the lowering the pellicle fabrication temperature in terms of the extending the lifetime during the scanning process.


Microelectronic Engineering | 2015

Mechanical deflection of a free-standing pellicle for extreme ultraviolet lithography

Eun-Sang Park; Zahid Hussain Shamsi; Ji-Won Kim; Dai-Gyoung Kim; Jin-Goo Park; Jinho Ahn; Hye-Keun Oh

Collaboration


Dive into the Eun-Sang Park's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hyung-Woo Lee

Korea National University of Transportation

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge