Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where F. Martin is active.

Publication


Featured researches published by F. Martin.


Lupus | 1997

Side-effects of intravenous cyclophosphamide pulse therapy.

F. Martin; Bernard Lauwerys; Chantal Lefebvre; Jean-Pierre Devogelaer; Frédéric Houssiau

We reviewed the side-effects of intravenous (IV) cyclophosphamide (CPM) pulse therapy in a group of 75 patients suffering from various autoimmune disorders (mostly systemic lupus erythematosus and vasculitis) who received a total of 451 IV CPM pulses, given on a monthly basis (mean ± s.d. CPM dose per pulse: 764 ± 217 mg; mean ± s.d. follow-up period: 26.7 ± 22.1 mon). Infection was the most common side-effect (30 episodes in 21 patients; 28% of the patients) but rarely required in-patient treatment (8 episodes in 7 patients; 9% of the patients). No relationship could be found between the occurrence of infection and the dose of CPM or of glucocorticoids. Other side-effects were rare. Only one patient suffered from neutropenia. Haemorragic cystitis was never observed nor did premature ovarian failure in the 25 female patients at risk. Four patients developed neoplasia and three died suddenly a few days after receiving a CPM pulse but the causal relationship between CPM therapy and these poor outcomes is speculative. Taken together, our data confirm in a large group of patients that IV CPM pulse therapy is relatively safe. In particular, the rate of severe infection requiring in-patient treatment is rare (1.8% of 451 pulses).


Applied Physics Letters | 2003

Growth of Si nanocrystals on alumina and integration in memory devices

T. Baron; A. Fernandes; J.F. Damlencourt; B. De Salvo; F. Martin; F. Mazen; Suvi Haukka

We present a detailed study of the growth of Si quantum dots (Si QDs) by low pressure chemical vapor deposition on alumina dielectric deposited by atomic layer deposition. The Si QDs density is very high, 1012u2009cm−2, for a mean diameter between 5 and 10 nm. Al2O3/Si QD stacks have been integrated in memory devices as granular floating gate. The devices demonstrate good charge storage and data retention characteristics.


Solid-state Electronics | 2003

Electrical and physico-chemical characterization of HfO2/SiO2 gate oxide stacks prepared by atomic layer deposition

J.-F. Damlencourt; O. Renault; D. Samour; A.-M. Papon; C. Leroux; F. Martin; S. Marthon; M.-N. Séméria; X. Garros

Abstract In this paper, we have correlated electrical measurements of thin HfO2 layers deposited on SiO2 by atomic layer deposition with angle-resolved X-ray photoelectron spectroscopy experiments. Results show that the HfO2/Si interface layer (IL) is made of a SiOx layer underneath a Si-rich Hf-silicate layer. The increasing of the IL thickness, during annealing, was essentially due to the silicon oxidation by –OH groups remaining in the HfO2 layer after deposition. Using shorter water pulse time, we were able to limit the SiOx growth during deposition. We have also observed, after annealing at 800 °C under nitrogen, a decreasing of the interfacial layer electrical thickness as well as an improvement of the equivalent oxide thickness of the stack.


Patient Education and Counseling | 2001

Implementing health promotion in health care settings: conceptual coherence and policy support.

Isabelle Aujoulat; Anne-Laurence Le Faou; Brigitte Sandrin-Berthon; F. Martin; Alain Deccache

This article examines the level and conditions of development of the concept of health promoting hospital (HPH), in France and in Europe. Part of the literature on HPH was reviewed, looking at the kind of partnerships implemented within the HPH projects, and at the organisational strategies adopted by hospitals to be health promoting. The literature review is followed by an overview of the priorities defined by health policies in Europe. This research shows that there is still a lack of guidelines on how to put the health promotion concept into practice in health care settings. Moreover, it stresses that further research is needed in order to better define which personal skills ought to be developed through health education in health care settings, and how the development of such skills may be articulated to other priorities in health care settings.


Solid-state Electronics | 2002

0.25 mu m fully depleted SOI MOSFETs for RF mixed analog-digital circuits, including a comparison with partially depleted devices with relation to high frequency noise parameters

M. Vanmackelberg; C. Raynaud; O. Faynot; Jean-Luc Pelloie; C. Tabone; A. Grouillet; F. Martin; Gilles Dambrine; L. Picheta; E. Mackowiak; P. Llinares; J. Sevenhans; E. Compagne; G. Fletcher; Denis Flandre; Vincent Dessard; Danielle Vanhoenacker-Janvier; Jean-Pierre Raskin

The purpose of this paper is to completely describe the low and high frequency performance including microwave noise parameters of 0.25 mum Fully depleted (FD) silicon-on-insulator (SOI) devices and to compare the noise performance with 0.25 mum partially depleted (PD) devices. These FD devices present a state of the art NFmin, of 0.8 dB and high G(ass) of 13 dB at 6 GHz, at V-ds = 0.75 V, P-dc < 3 mW at 80 mum total gate width. A extrapolated maximum oscillation frequency of about 70 GHz has been obtained at V-ds, = 1 V and J(ds), = 100 mA/mm


international electron devices meeting | 2007

Thorough investigation of Si-nanocrystal memories with high-k interpoly dielectrics for sub-45nm node Flash NAND applications

G. Molas; Marc Bocquet; J. Buckley; J. P. Colonna; L. Masarotto; H. Grampeix; F. Martin; V. Vidal; A. Toffoli; P. Brianceau; L. Vermande; P. Scheiblin; M. Gely; A. M. Papon; G. Auvert; L. Perniola; Christophe Licitra; T. Veyron; Névine Rochat; C. Bongiorno; S. Lombardo; B. De Salvo; S. Deleonibus

In this paper we show for the 1st time that Silicon nanocrystal (Si-ncs) memories with high-k (HfO2, Al2O3 and HfAlO) interpoly dielectrics (IPD) can offer excellent behaviour in the Fowler-Nordheim regime, with great relevance for future sub-45 nm NAND memory generations. We significantly advance the state-of-the-art by showing a strict correlation between the different IPD properties and the performance obtained on memory transistors down to 90 nm gate lengths. In particular the results demonstrate that HfAlO IPDs combine the fast p/e and good 105 cycles endurance behaviour of HfO2 and the long retention of Al2O3 with no activation up to 125degC Then, in order to boost the memory window, we also integrated a hybrid Si-nc/SiN layer floating gate, with a HfAlO based IPD. It is shown that a 6V DeltaVth can be achieved, with good retention and cycling behaviours.


IEEE Transactions on Electron Devices | 2010

Measurement of Dipoles/Roll-Off /Work Functions by Coupling CV and IPE and Study of Their Dependence on Fabrication Process

Matthieu Charbonnier; C. Leroux; Vincent Cosnier; Pascal Besson; E. Martinez; N. Benedetto; Christophe Licitra; Névine Rochat; C. Gaumer; K. Kaja; G. Ghibaudo; F. Martin; Gilles Reimbold

We study the effective metal gate work function (WFMeff) of different metal/high-κ gate stacks. Both capacitance versus voltage measurement and internal photo emission measurement were used, leading to a better understanding of the WFMeff variations. We demonstrate that these variations are related to two main process dependent parameters, a voltage drop at the high- κ/SiO2 interface and the metal work function. These two parameters are studied for various process conditions.


Patient Education and Counseling | 2002

Communication difficulties of healthcare professionals and family caregivers of HIV infected patients

Isabelle Aujoulat; F Libion; H Bois; F. Martin; Alain Deccache

The family caregivers of HIV infected patients play a growing role in the support of the everyday life of their patient. Being more and more involved in different aspects of counselling and care-giving, they become the partner of the healthcare professionals who take care of the patient. It is nowadays well known that communication between healthcare professionals and patients is complex. So communication is between healthcare professionals and family caregivers, as our survey aims at demonstrating. Both the family caregivers and the healthcare professionals face difficulties and express needs and expectations that are important to meet in order to optimise the quality of care which is given to the patient.


Microelectronics Reliability | 2000

Transport process in thin SiO2 films with an embedded 2-D array of Si nanocrystals

B. De Salvo; P. Luthereau; T. Baron; G. Ghibaudo; F. Martin; D. Fraboulet; G. Reimbold; J. Gautier

Abstract This work deals with the electrical characteristics and physical properties of novel dielectric systems based on silicon nanocrystals embedded in SiO 2 matrices. In particular, the transport phenomena of 10 nm thick SiO 2 capacitors with an embedded thin layer (5 nm) of LPCVD Si nanocrystals, located at different tunneling distances from the oxide–substrate interface, are studied. An original model based on an elastic tunneling phenomenon, which allows an efficient evaluation of the main structural characteristics of Si dots, is proposed.


international memory workshop | 2009

An In-Depth Investigation of Physical Mechanisms Governing SANOS Memories Characteristics

Marc Bocquet; E. Vianello; G. Molas; L. Perniola; H. Grampeix; F. Martin; J. P. Colonna; A. M. Papon; P. Brianceau; M. Gely; B. De Salvo; G. Pananakakis; G. Ghibaudo; L. Selmi

The goal of this work is to give a clear physical comprehension of the charge loss mechanisms of SANOS (Si/Al 2 O 3 /Si 3 N 4 /SiO 2 /Si) memories. Retention at room and high temperature is investigated on different samples through experiments and theoretical modeling. We argue that at room temperature, the charge loss essentially results from the tunneling of the electrons trapped at the nitride interface, and the retention life time increases with the nitride thickness. On the contrary, at high temperature, the trapped charges in the nitride volume quickly redistribute, thanks to the thermal emission process, and they migrate to the nitride interface. Indeed, this result suggests that thin-nitride thicknesses in SANOS devices allow keeping a fast program/erase speed without degrading the retention at high temperature.

Collaboration


Dive into the F. Martin's collaboration.

Top Co-Authors

Avatar

Marc Bocquet

Aix-Marseille University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

L. Perniola

Centre national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar

Isabelle Aujoulat

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

Alain Deccache

Université catholique de Louvain

View shared research outputs
Top Co-Authors

Avatar

T. Baron

Intelligence and National Security Alliance

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge