Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gregory Blachut is active.

Publication


Featured researches published by Gregory Blachut.


Science | 2012

Polarity-Switching Top Coats Enable Orientation of Sub–10-nm Block Copolymer Domains

Christopher M. Bates; Takehiro Seshimo; Michael J. Maher; William J. Durand; Julia D. Cushen; Leon M. Dean; Gregory Blachut; Christopher J. Ellison; C. Grant Willson

Thermally Transforming Thin Films Nanoscale features can be created by the phase separation that occurs in block copolymers that join together polymer segments with different wetting properties. For applications such as lithography, it is useful to generate small features and to orient them through simple processing steps. Top-layer coatings should be able to help drive alignment, but it is difficult to coat a layer that also has strong enough interactions to influence assembly. Bates et al. (p. 775) developed a water-soluble polymer that can top-coat lamellaforming block copolymers and that transforms during thermal annealing into a neutral wetting layer that helps drive the formation of vertically oriented lamellae. A chemical reaction in a thin polymer film imposes orientational ordering of lamellar domains in an underlying film. Block copolymers (BCPs) must necessarily have high interaction parameters (χ), a fundamental measure of block incompatibility, to self-assemble into sub–10-nanometer features. Unfortunately, a high χ often results from blocks that have disparate interfacial energies, which makes the formation of useful thin-film domain orientations challenging. To mitigate interfacial forces, polymers composed of maleic anhydride and two other components have been designed as top coats that can be spin-coated from basic aqueous solution in the ring-opened, acid salt form. When baked, the anhydride reforms and switches polarity to create a neutral layer enabling BCP feature alignment not possible by thermal annealing alone. Top coats were applied to the lamella-forming block copolymers poly(styrene-block-trimethylsilylstyrene-block-styrene) and poly(trimethylsilylstyrene-block-lactide), which were thermally annealed to produce perpendicular features with linewidths of 15 and 9 nanometers, respectively.


ACS Applied Materials & Interfaces | 2015

Directed Self-Assembly of Silicon-Containing Block Copolymer Thin Films

Michael J. Maher; C. T. Rettner; Christopher M. Bates; Gregory Blachut; Matthew C. Carlson; William J. Durand; Christopher J. Ellison; Daniel P. Sanders; Joy Cheng; C. Grant Willson

The directed self-assembly (DSA) of lamella-forming poly(styrene-block-trimethylsilylstyrene) (PS-PTMSS, L0=22 nm) was achieved using a combination of tailored top interfaces and lithographically defined patterned substrates. Chemo- and grapho-epitaxy, using hydrogen silsesquioxane (HSQ) based prepatterns, achieved density multiplications up to 6× and trench space subdivisions up to 7×, respectively. These results establish the compatibility of DSA techniques with a high etch contrast, Si-containing BCP that requires a top coat neutral layer to enable orientation.


ACS Applied Materials & Interfaces | 2015

Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-b-PMOST

Julia D. Cushen; Lei Wan; Gregory Blachut; Michael J. Maher; Thomas R. Albrecht; Christopher J. Ellison; C. Grant Willson; Ricardo Ruiz

The directed self-assembly (DSA) of two sub-20 nm pitch silicon-containing block copolymers (BCPs) was accomplished using a double-patterned sidewall scheme in which each lithographic prepatterned feature produced two regions for pattern registration. In doing so, the critical dimension of the lithographic prepatterns was relaxed by a factor of 2 compared to previously reported schemes for DSA. The key to enabling the double-patterned sidewall scheme is the exploitation of the oxidized sidewalls of cross-linked polystyrene formed during the pattern transfer of the resist via reactive ion etching. This results in shallow trenches with two guiding interfaces per prepatterned feature. Electron loss spectroscopy was used to study and confirm the guiding mechanism of the double-patterned sidewalls, and pattern transfer of the BCPs into a silicon substrate was achieved using reactive ion etching. The line edge roughness, width roughness, and placement error are near the target required for bit-patterned media applications, and the technique is also compatible with the needs of the semiconductor industry for high-volume manufacturing.


ACS Nano | 2017

Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae

Austin P. Lane; XiaoMin Yang; Michael J. Maher; Gregory Blachut; Yusuke Asano; Yasunobu Someya; Akhila Mallavarapu; Stephen M. Sirard; Christopher J. Ellison; C. Grant Willson

The directed self-assembly (DSA) and pattern transfer of poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS) is reported. Lamellae-forming PVBD-b-PDSS can form well resolved 5 nm (half-pitch) features in thin films with high etch selectivity. Reactive ion etching was used to selectively remove the PVBD block, and fingerprint patterns were subsequently transferred into an underlying chromium hard mask and carbon layer. DSA of the block copolymer (BCP) features resulted from orienting PVBD-b-PDSS on guidelines patterned by nanoimprint lithography. A density multiplication factor of 4× was achieved through a hybrid chemo-/grapho-epitaxy process. Cross-sectional scanning tunneling electron microscopy/electron energy loss spectroscopy (STEM/EELS) was used to analyze the BCP profile in the DSA samples. Wetting layers of parallel orientation were observed to form unless the bottom and top surface were neutralized with a surface treatment and top coat, respectively.


Proceedings of SPIE | 2016

Interactions between plasma and block copolymers used in directed self-assembly patterning

Stephen M. Sirard; Laurent Azarnouche; Emir Gurer; William J. Durand; Michael J. Maher; Kazunori Mori; Gregory Blachut; Dustin W. Janes; Yusuke Asano; Yasunobu Someya; Diane J. Hymes; David B. Graves; Christopher J. Ellison; C. Grant Willson

The directed self-assembly (DSA) of block copolymers offers a promising route for scaling feature sizes below 20 nm. At these small dimensions, plasmas are often used to define the initial patterns. It is imperative to understand how plasmas interact with each block in order to design processes with sufficient etch contrast and pattern fidelity. Symmetric lamella forming block copolymers including, polystyrene-b-poly(methyl methacrylate) and several high-χ silicon-containing and tin-containing block copolymers were synthesized, along with homopolymers of each block, and exposed to various oxidizing, reducing, and fluorine-based plasma processes. Etch rate kinetics were measured, and plasma modifications of the materials were characterized using XPS, AES, and FTIR. Mechanisms for achieving etch contrast were elucidated and were highly dependent on the block copolymer architecture. For several of the polymers, plasma photoemissions were observed to play an important role in modifying the materials and forming etch-resistant protective layers. Furthermore, it was observed for the silicon- and tin-containing polymers that an initial transient state exists, where the polymers exhibit an enhanced etch rate, prior to the formation of the etch-resistant protective layer. Plasma developed patterns were demonstrated for the differing block copolymer materials with feature sizes ranging from 20 nm down to approximately 5 nm.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2016

Plasma and photon interactions with organosilicon polymers for directed self-assembly patterning applications

Laurent Azarnouche; Stephen M. Sirard; William J. Durand; Gregory Blachut; Emir Gurer; Diane J. Hymes; Christopher J. Ellison; C. G. Willson; David B. Graves

Silicon (Si)-containing block copolymers (BCPs) are promising candidates for directed self-assembly patterning applications and are able to access structures with critical dimensions less than 10 nm. Significant etch contrast between the blocks is required to integrate BCPs for patterning applications and form an initial topographical mask. For Si-containing BCPs, O2 plasma exposure can give high etch contrast between the blocks by forming a thin etch resistant silicon oxide (SiOx) surface layer from the Si-containing block. The authors have also found that H2 and N2/H2 plasmas can form etch resistant barrier layers from organosilicon polymers (OSPs). Photodegradation of the OSPs induced by H2 plasma-generated vacuum ultraviolet (VUV) photons initiates the formation of this etch barrier layer. Fourier transform infrared transmission spectroscopy measurements show enhanced VUV-induced degradation in polymers with higher Si content due to cleavage of the methylsilyl bonds (Si-CH3) and subsequent carbon depl...


Advanced Etch Technology for Nanopatterning VII 2018 | 2018

Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

Gregory Blachut; Stephen M. Sirard; Andrew Liang; Chris A. Mack; Michael J. Maher; Paulina Rincon-Delgadillo; Boon Teik Chan; Geert Mannaert; Geert Vandenberghe; C. Grant Willson; Christopher J. Ellison; Diane J. Hymes

A pattern transfer study was conducted to monitor the evolution of roughness in sub-10 nm half-pitch lines generated by the directed self-assembly (DSA) of a high-chi, silicon-containing block copolymer, poly(4-trimethylsilylstyrene)-block-poly(4-methoxystyrene). Unbiased roughness measurements were used to characterize the roughness of the structures before and after pattern transfer into silicon nitride. Parameters of the reactive ion etch process used as a dry development were systematically modified to minimize undesired line walking created by the DSA pre-pattern and to determine their impacts on roughness. The results of this study indicate that an optimized dry development can mitigate the effects of pre-pattern inhomogeneity, and that both dry development and pattern transfer steps effect the roughness of the final structures.


Spie Newsroom | 2016

Plasma etch of block copolymers for lithography

Stephen M. Sirard; Laurent Azarnouche; Emir Gurer; William J. Durand; Michael J. Maher; Kazunori Mori; Gregory Blachut; Dustin W. Janes; Yusuke Asano; Yasunobu Someya; Diane J. Hymes; David B. Graves; Christopher J. Ellison; Grant Willson

To date, the semiconductor manufacturing industry has relied on optical lithography to enable the scaling of devices to ever smaller dimensions. Developers use extreme UV lithography to print very small features, but this technology has suffered numerous technical delays and is still not feasible for highvolume manufacturing. The industry is therefore pursuing new schemes, such as multiple patterning, that are intended to improve 193nm immersion lithography (the technique that uses a liquid with a relatively high refractive index to enhance resolution). These approaches have enabled successful fabrication of features smaller than the resolution limit of the 193nm immersion tools. However, their drawbacks are increased process complexities and higher costs. One potentially lower-cost alternative for generating smaller structures is the directed self-assembly (DSA) of block copolymers (BCPs),1, 2 where a BCP is deposited and aligned and one block is removed to form a pattern. There has been tremendous progress in advancing DSA patterning, yet significant hurdles remain to its commercial adoption. These include the need to reduce patterning defects and to integrate these materials into robust patterning schemes. The BCPs need to show resistance to the reactive ion etch (RIE) plasmas that are used to pattern the underlying materials. Furthermore, at such small dimensions, the initial pattern is often created using a dry RIE plasma (rather than a wet process in order to prevent collapse of the mask from capillary forces during drying). Therefore, it is necessary to have high etch contrast between the individual blocks of the BCP, and because welloriented BCP film thicknesses are typically less than 50nm. Figure 1. Scanning electron microscope (SEM) image of a crosssection of self-assembled poly(styrene-block-methyl methacrylate) (PSb-PMMA) with 21nm domains prior to PMMA removal (a) and post reactive ion etch (RIE) removal of PMMA domains (b). Minimal mask loss of polystyrene was observed.


Macromolecules | 2018

Characterizing the Interface Scaling of High χ Block Copolymers near the Order–Disorder Transition

Daniel F. Sunday; Michael J. Maher; Adam F. Hannon; Christopher Liman; Summer Tein; Gregory Blachut; Yusuke Asano; Christopher J. Ellison; C. Grant Willson; R. Joseph Kline

Advancements in the directed self-assembly of block copolymers (BCPs) have prompted the development of new materials with larger effective interaction parameters (χe). This enables BCP systems with phase separation at increasingly small degrees of polymerization (N). Very often these systems reside near the order-disorder transition and fit between the weak and strong segregation limits where the behavior of BCP systems is not as thoroughly understood. Utilizing resonant soft X-ray reflectivity (RSoXR) enables both the BCP pitch (L0) and interface width (wM) to be determined simultaneously, through a direct characterization of the composition profile of BCP lamellae oriented parallel to a substrate. A series of high χe BCPs with χe ranging from ≈0.04 to 0.25 and χeN from 19 to 70 have been investigated. The L0/wm ratio serves as an important metric for the feasibility of a material for nanopatterning applications; the results of the RSoXR measurement are used to establish a relationship between χe and L0/wm. The results of this analysis are correlated with experimentally established limits for the functionality of BCPs in nanopatterning applications. These results also provide guidance for the magnitude of χe needed to achieve small interface width for samples with sub-10 nm L0.


Emerging Patterning Technologies 2018 | 2018

Block copolymers for sub-10nm directed self-assembly lithography (Conference Presentation)

Gregory Blachut; Yusuke Asano; Austin P. Lane; Natsuko Ito; Christopher J. Ellison; Grant Willson; Stephen M. Sirard; XiaoMin Yang

Directed self-assembly (DSA) of block co-polymers (BCPs) is a next-generation lithography technique that shows promise for extending Moore’s Law into the 10 nm regime and below. The minimum size of the features that can be produced by BCPs is controlled by the interaction parameter (chi) and the degree of polymerization (N). We have developed silicon containing BCPs for sub-20 nm line-and-space lithography. These BCPs were synthesized by living anionic polymerization, thermally annealed in thin films between neutral layers to generate the requisite perpendicular orientation [1, 2]. The silicon-containing blocks provide excellent development contrast under both oxidizing and reducing reactive ion etching (RIE) conditions. The developed patterns work well as masks for transfer of the developed patterns into useful substrate materials [3]. Through optimizing the design of the block copolymers and the “hybrid” DSA process [1], we have now obtained 10 nm full pitch gratings. Recently we have studied silicon containing BCPs that incorporate a poly(2-vinylpyridine) block as a path to achieving still higher chi. For example, we have synthesized poly(4-pentamethyldisilylstyrene-block-2-vinylpyridine) (PDSS-b-P2VP) and found that this material has a chi parameter that is significantly higher than that of the BCP used for 10 nm lithography, meaning that even smaller feature sizes should be possible. Neutral top coats and cross-linked surface treatment layers were identified for PDSS-b-P2VP using the island and hole techniques that have been described previously [5]. We have succeeded in demonstrating 8 nm full pitch finger print patterns that are oriented perpendicular to the substrate. These are the smallest patterns we have managed to obtain in our system to date. 1. Blachut, G., et al. Chem. Mater (2016), 28 (24), 8951-8961. 2. Bates C. M., et al. Science (2012), 338 (6108), 775. 3. Azarnouchea, L., et al. J. Vac. Sci. Technol. B (2016) 34 (6), 061602/1-061602/10. 4. Lane A. P., et al. ACS Nano (2017), 11 (8), 7656-7665. 5. Maher, M. J., et al. Chemistry of Materials (2014), 26 (3), 1471-1479.

Collaboration


Dive into the Gregory Blachut's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Michael J. Maher

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

William J. Durand

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Yusuke Asano

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Christopher M. Bates

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Austin P. Lane

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Matthew C. Carlson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Dustin W. Janes

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge