Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yusuke Asano is active.

Publication


Featured researches published by Yusuke Asano.


ACS Nano | 2017

Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae

Austin P. Lane; XiaoMin Yang; Michael J. Maher; Gregory Blachut; Yusuke Asano; Yasunobu Someya; Akhila Mallavarapu; Stephen M. Sirard; Christopher J. Ellison; C. Grant Willson

The directed self-assembly (DSA) and pattern transfer of poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS) is reported. Lamellae-forming PVBD-b-PDSS can form well resolved 5 nm (half-pitch) features in thin films with high etch selectivity. Reactive ion etching was used to selectively remove the PVBD block, and fingerprint patterns were subsequently transferred into an underlying chromium hard mask and carbon layer. DSA of the block copolymer (BCP) features resulted from orienting PVBD-b-PDSS on guidelines patterned by nanoimprint lithography. A density multiplication factor of 4× was achieved through a hybrid chemo-/grapho-epitaxy process. Cross-sectional scanning tunneling electron microscopy/electron energy loss spectroscopy (STEM/EELS) was used to analyze the BCP profile in the DSA samples. Wetting layers of parallel orientation were observed to form unless the bottom and top surface were neutralized with a surface treatment and top coat, respectively.


Proceedings of SPIE | 2016

Interactions between plasma and block copolymers used in directed self-assembly patterning

Stephen M. Sirard; Laurent Azarnouche; Emir Gurer; William J. Durand; Michael J. Maher; Kazunori Mori; Gregory Blachut; Dustin W. Janes; Yusuke Asano; Yasunobu Someya; Diane J. Hymes; David B. Graves; Christopher J. Ellison; C. Grant Willson

The directed self-assembly (DSA) of block copolymers offers a promising route for scaling feature sizes below 20 nm. At these small dimensions, plasmas are often used to define the initial patterns. It is imperative to understand how plasmas interact with each block in order to design processes with sufficient etch contrast and pattern fidelity. Symmetric lamella forming block copolymers including, polystyrene-b-poly(methyl methacrylate) and several high-χ silicon-containing and tin-containing block copolymers were synthesized, along with homopolymers of each block, and exposed to various oxidizing, reducing, and fluorine-based plasma processes. Etch rate kinetics were measured, and plasma modifications of the materials were characterized using XPS, AES, and FTIR. Mechanisms for achieving etch contrast were elucidated and were highly dependent on the block copolymer architecture. For several of the polymers, plasma photoemissions were observed to play an important role in modifying the materials and forming etch-resistant protective layers. Furthermore, it was observed for the silicon- and tin-containing polymers that an initial transient state exists, where the polymers exhibit an enhanced etch rate, prior to the formation of the etch-resistant protective layer. Plasma developed patterns were demonstrated for the differing block copolymer materials with feature sizes ranging from 20 nm down to approximately 5 nm.


Spie Newsroom | 2016

Plasma etch of block copolymers for lithography

Stephen M. Sirard; Laurent Azarnouche; Emir Gurer; William J. Durand; Michael J. Maher; Kazunori Mori; Gregory Blachut; Dustin W. Janes; Yusuke Asano; Yasunobu Someya; Diane J. Hymes; David B. Graves; Christopher J. Ellison; Grant Willson

To date, the semiconductor manufacturing industry has relied on optical lithography to enable the scaling of devices to ever smaller dimensions. Developers use extreme UV lithography to print very small features, but this technology has suffered numerous technical delays and is still not feasible for highvolume manufacturing. The industry is therefore pursuing new schemes, such as multiple patterning, that are intended to improve 193nm immersion lithography (the technique that uses a liquid with a relatively high refractive index to enhance resolution). These approaches have enabled successful fabrication of features smaller than the resolution limit of the 193nm immersion tools. However, their drawbacks are increased process complexities and higher costs. One potentially lower-cost alternative for generating smaller structures is the directed self-assembly (DSA) of block copolymers (BCPs),1, 2 where a BCP is deposited and aligned and one block is removed to form a pattern. There has been tremendous progress in advancing DSA patterning, yet significant hurdles remain to its commercial adoption. These include the need to reduce patterning defects and to integrate these materials into robust patterning schemes. The BCPs need to show resistance to the reactive ion etch (RIE) plasmas that are used to pattern the underlying materials. Furthermore, at such small dimensions, the initial pattern is often created using a dry RIE plasma (rather than a wet process in order to prevent collapse of the mask from capillary forces during drying). Therefore, it is necessary to have high etch contrast between the individual blocks of the BCP, and because welloriented BCP film thicknesses are typically less than 50nm. Figure 1. Scanning electron microscope (SEM) image of a crosssection of self-assembled poly(styrene-block-methyl methacrylate) (PSb-PMMA) with 21nm domains prior to PMMA removal (a) and post reactive ion etch (RIE) removal of PMMA domains (b). Minimal mask loss of polystyrene was observed.


Macromolecules | 2018

Characterizing the Interface Scaling of High χ Block Copolymers near the Order–Disorder Transition

Daniel F. Sunday; Michael J. Maher; Adam F. Hannon; Christopher Liman; Summer Tein; Gregory Blachut; Yusuke Asano; Christopher J. Ellison; C. Grant Willson; R. Joseph Kline

Advancements in the directed self-assembly of block copolymers (BCPs) have prompted the development of new materials with larger effective interaction parameters (χe). This enables BCP systems with phase separation at increasingly small degrees of polymerization (N). Very often these systems reside near the order-disorder transition and fit between the weak and strong segregation limits where the behavior of BCP systems is not as thoroughly understood. Utilizing resonant soft X-ray reflectivity (RSoXR) enables both the BCP pitch (L0) and interface width (wM) to be determined simultaneously, through a direct characterization of the composition profile of BCP lamellae oriented parallel to a substrate. A series of high χe BCPs with χe ranging from ≈0.04 to 0.25 and χeN from 19 to 70 have been investigated. The L0/wm ratio serves as an important metric for the feasibility of a material for nanopatterning applications; the results of the RSoXR measurement are used to establish a relationship between χe and L0/wm. The results of this analysis are correlated with experimentally established limits for the functionality of BCPs in nanopatterning applications. These results also provide guidance for the magnitude of χe needed to achieve small interface width for samples with sub-10 nm L0.


Emerging Patterning Technologies 2018 | 2018

Block copolymers for sub-10nm directed self-assembly lithography (Conference Presentation)

Gregory Blachut; Yusuke Asano; Austin P. Lane; Natsuko Ito; Christopher J. Ellison; Grant Willson; Stephen M. Sirard; XiaoMin Yang

Directed self-assembly (DSA) of block co-polymers (BCPs) is a next-generation lithography technique that shows promise for extending Moore’s Law into the 10 nm regime and below. The minimum size of the features that can be produced by BCPs is controlled by the interaction parameter (chi) and the degree of polymerization (N). We have developed silicon containing BCPs for sub-20 nm line-and-space lithography. These BCPs were synthesized by living anionic polymerization, thermally annealed in thin films between neutral layers to generate the requisite perpendicular orientation [1, 2]. The silicon-containing blocks provide excellent development contrast under both oxidizing and reducing reactive ion etching (RIE) conditions. The developed patterns work well as masks for transfer of the developed patterns into useful substrate materials [3]. Through optimizing the design of the block copolymers and the “hybrid” DSA process [1], we have now obtained 10 nm full pitch gratings. Recently we have studied silicon containing BCPs that incorporate a poly(2-vinylpyridine) block as a path to achieving still higher chi. For example, we have synthesized poly(4-pentamethyldisilylstyrene-block-2-vinylpyridine) (PDSS-b-P2VP) and found that this material has a chi parameter that is significantly higher than that of the BCP used for 10 nm lithography, meaning that even smaller feature sizes should be possible. Neutral top coats and cross-linked surface treatment layers were identified for PDSS-b-P2VP using the island and hole techniques that have been described previously [5]. We have succeeded in demonstrating 8 nm full pitch finger print patterns that are oriented perpendicular to the substrate. These are the smallest patterns we have managed to obtain in our system to date. 1. Blachut, G., et al. Chem. Mater (2016), 28 (24), 8951-8961. 2. Bates C. M., et al. Science (2012), 338 (6108), 775. 3. Azarnouchea, L., et al. J. Vac. Sci. Technol. B (2016) 34 (6), 061602/1-061602/10. 4. Lane A. P., et al. ACS Nano (2017), 11 (8), 7656-7665. 5. Maher, M. J., et al. Chemistry of Materials (2014), 26 (3), 1471-1479.


Journal of Polymer Science Part A | 2015

Design of high-χ block copolymers for lithography

William J. Durand; Gregory Blachut; Michael J. Maher; Stephen M. Sirard; Summer Tein; Matthew C. Carlson; Yusuke Asano; Sunshine X. Zhou; Austin P. Lane; Christopher M. Bates; Christopher J. Ellison; C. Grant Willson


Chemistry of Materials | 2016

A Hybrid Chemo-/Grapho-Epitaxial Alignment Strategy for Defect Reduction in Sub-10 nm Directed Self-Assembly of Silicon-Containing Block Copolymers

Gregory Blachut; Stephen M. Sirard; Michael J. Maher; Yusuke Asano; Yasunobu Someya; Austin P. Lane; William J. Durand; Christopher M. Bates; Andrew M. Dinhobl; Roel Gronheid; Diane J. Hymes; Christopher J. Ellison; C. Grant Willson


Journal of Photopolymer Science and Technology | 2016

Synthesis and Characterization of Si-containing Block Co-polymers with Resolution beyond 10 nm

Yasunobu Someya; Yusuke Asano; Michael J. Maher; Gregory Blachut; Austin P. Lane; Stephen M. Sirard; Christopher J. Ellison; C. Grant Willson


Macromolecules | 2018

Integrating Modeling and Reflectivity to Extract the Scaling and Thermodynamics of High Chi Block Copolymers Near the Order-Disorder Transition

Daniel F. Sunday; Michael J. Maher; Adam F. Hannon; Christopher D. Liman; Summer Tein; Gregory Blachut; Yusuke Asano; Christopher J. Ellison; C. Grant Willson; Regis J. Kline


Journal of Photopolymer Science and Technology | 2017

High-χ, Si-containing block copolymers and process strategies for directing their self-assembly

Christopher J. Ellison; C. Grant Willson; Dustin W. Janes; Gregory Blachut; Yasunobu Soymeya; Paulina Rincon Delgadillo; Geert Vandenberghe; Arjun Singh; Jan Doise; Natsuko Ito; Ryuta Mizuochi; Yusuke Asano; Austin P. Lane

Collaboration


Dive into the Yusuke Asano's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Gregory Blachut

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Michael J. Maher

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Austin P. Lane

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

William J. Durand

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Yasunobu Someya

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Summer Tein

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Christopher M. Bates

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge