Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where H. J. Lee is active.

Publication


Featured researches published by H. J. Lee.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

A sophisticated metrology solution for advanced lithography: addressing the most stringent needs of today as well as future lithography

Victor Shih; Jacky Huang; Willie Wang; Guo-Tsai Huang; H. L. Chung; Alan Ho; Wenjin Yang; Sophia Wang; Chih-Ming Ke; Li-Jui Chen; C. R. Liang; H. H. Liu; H. J. Lee; L. G. Terng; Tsai-Sheng Gau; John Lin; Kaustuve Bhattacharyya; Maurits van der Schaar; Noelle Wright; Mir Shahrjerdy; Vivien Wang; Spencer Lin; Jon Wu; Sophie Peng; Dennis Chang; Cathy Wang; Andreas Fuchs; Omer Adam; Karel van der Mast

Advanced lithography is becoming increasingly demanding when speed and sophistication in communication between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and matching needs in to deep sub-nanometer level [4]. Keeping the above in mind, a new scatterometry-based platform is under development at ASML. Authors have already published results of a thorough investigation of this promising new metrology technique which showed excellent results on resolution, precision and matching for overlay, as well as basic and advanced capabilities for CD [1], [2], [3]. In this technical presentation the authors will report the newest results from this ASML platform. This new work was divided in two sections: monitor wafer applications (scanner control - overlay, CD and focus) and product wafer applications.


Future Generation Computer Systems | 2011

High Performance Digital Media Network (HPDMnet): An advanced international research initiative and global experimental testbed

Joe Mambretti; Mathieu Lemay; Scott Campbell; Hervé Guy; Thomas Tam; Eric Bernier; Bobby Ho; Michel Savoie; Cees de Laat; Ronald van der Pol; Jim Hao Chen; Fei Yeh; Sergi Figuerola; Pau Minoves; Dimitra Simeonidou; Eduard Escalona; Norberto Amaya Gonzalez; Admela Jukan; Wolfgang Bziuk; Dongkyun Kim; Kwangjong Cho; H. J. Lee; Te-Lung Liu

Currently, support for digital media is one of the fastest growing requirements of the Internet as demand transitions from services designed to support primarily text and images to those intended also to support rich, high quality streaming multi-media. In response to the need to address this important 21st century communications challenge, an international consortium of network research organizations has established an initiative, the High Performance Digital Media Network (HPDMnet), to investigate key underlying problems, to design potential solutions, to prototype those solutions on a global experimental testbed, and to create an initial set of production services. The HPDMnet service is being designed not only to support general types of digital media but also those based on extremely high resolution, high capacity data streams. These HPDMnet services, which are based on a wide range of advanced architectural concepts at all layers, provide a framework for network middleware that allows non-traditional resources to enable new network services, including those based on dynamically provisioned international lightpaths supported by flexible optical-fiber and optical switching technology. These HPDMnet services have been showcased at major national and international forums, and they are being implemented within several next generation communications exchanges.


Proceedings of SPIE | 2010

A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithography

Chih-Ming Ke; Victor Shih; Jacky Huang; Li-Jui Chen; Willie Wang; Guo-Tsai Huang; Wenjin Yang; Sophia Wang; C. R. Liang; Heng-Hsin Liu; H. J. Lee; L. G. Terng; Tsai-Sheng Gau; John Lin; Kaustuve Bhattacharyya; Maurits van der Schaar; Noelle Wright; Marc Noot; Mir Shahrjerdy; Vivien Wang; Spencer Lin; Jon Wu; Sophie Peng; Gavin Liu; Wei-Shun Tzeng; Jim Chen; Andreas Fuchs; Omer Adam; Cathy Wang

Advanced lithography is becoming increasingly demanding when speed and sophistication in communication between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and matching needs in to deep sub-nanometer level as well as driving the need for higher sampling (throughput). Keeping the above in mind, a new scatterometry-based platform (called YieldStar) is under development at ASML. Authors have already published results of a thorough investigation of this promising new metrology technique which showed excellent results on resolution, precision and matching for overlay, as well as basic and advanced capabilities for CD. In this technical presentation the authors will report the newest results taken from YieldStar. This new work is divided in two sections: monitor wafer applications and product wafer applications. Under the monitor wafer application: overlay, CD and focus applications will be discussed for scanner and track hotplate control. Under the product wafer application: first results from integrated metrology will be reported followed by poly layer and 3D CD reconstruction results from hole layers as well as overlay-results from small (30x60um), process-robust overlay targets are reported.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

A comprehensive look at a new metrology technique to support the needs of lithography performance in near future

Jimmy Hu; Chih-Ming Ke; Willie Wang; Jacky Huang; H. L. Chung; C. R. Liang; Victor Shih; H. H. Liu; H. J. Lee; L. G. Terng; Y. D. Fan; Maurits van der Schaar; Kiwi Yuan; Vivien Wang; Cathy Wang; Mir Shahrjerdy; Andreas Fuchs; Kaustuve Bhattacharyya; Karel van der Mast

Need for accuracy, precision, speed and sophistication in metrology has increased tremendously over the past few years. Lithography performance will increasingly depend on post patterning metrology and this dependency will be heavily accelerated by technology shrinkage. These requirements will soon become so stringent that the current metrology capabilities may not be sufficient to support these near future needs. Accuracy and precision requirements approaching well into sub-nanometer range while the demand for increase in sampling also continues, triggering the need for a new technology in this area. In this technical presentation the authors would like to evaluate such technology that has the potential to support the future needs. Extensive data collection and tests are ongoing for both CD and overlay. Data on first order diffraction based overlay shows unprecedented measurement precision. The levels of precision are so low that for evaluation special methods has been developed and tested. In this paper overlay measurement method and data will be discussed, as well as applicability for future nodes and novel lithography techniques. CD data will be reported in the future technical publications.


Proceedings of SPIE | 2012

Process requirements for pitch splitting LELE double patterning at advanced logic technology node

R. C. Peng; I-S. Huang; H. H. Liu; H. J. Lee; John Lin; Arthur Lin; Allen Chang; Benjamin Szu-Min Lin; Ivan Lalovic

As IC dimensions continue to shrink beyond the 22nm node, optical single exposure cannot sustain the resolution required and various double patterning techniques have become the main stream prior to the availability of EUV lithography. Among various kinds of double patterning techniques, positive splitting pitch lithography-etch-lithographyetch (LELE) double patterning is chosen for printing complex foundry circuit designs. Tighter circuit CD and process margin control in such positive splitting pitch LELE double patterning process becomes increasingly critical especially for topography issues induced by the 1st mask patterning with the 2nd mask exposure. In this paper, laser parameters, topography issues with the 2nd mask exposure, and SMO effects on CD performances are described in terms of the proximity CD portion of the scanner CD budget. Laser parameters, e.g. spectral shape and bandwidth, were input into the photolithography simulator, Prolith, to calculate their impacts on circuit CD variation. Mask-bias dependent lithographic performance was calculated and used to illustrate the importance of well-controlled laser performance parameters. Recommended laser bandwidth, mask bias and topography requirements are proposed, based on simulation results to ensure that the tight CD control (< 1nm) required for advanced technology node products can be achieved.


Proceedings of SPIE | 2010

Laser Spectrum Requirements for Tight CD Control at Advanced Logic Technology Nodes

R. C. Peng; H. J. Lee; John Lin; Arthur Lin; Allen Chang; Benjamin Szu-Min Lin

Tight circuit CD control in a photolithographic process has become increasingly critical particularly for advanced process nodes below 32nm, not only because of its impact on device performance but also because the CD control requirements are approaching the limits of measurement capability. Process stability relies on tight control of every factor which may impact the photolithographic performance. The variation of circuit CD depends on many factors, for example, CD uniformity on reticles, focus and dose errors, lens aberrations, partial coherence variation, photoresist performance and changes in laser spectrum. Laser bandwidth and illumination partial coherence are two significant contributors to the proximity CD portion of the scanner CD budget. It has been reported that bandwidth can contribute to as much as 9% of the available CD budget, which is equivalent to ~0.5nm at the 32nm node. In this paper, we are going to focus on the contributions of key laser parameters e.g. spectral shape and bandwidth, on circuit CD variation for an advanced node logic device. These key laser parameters will be input into the photolithography simulator, Prolith, to calculate their impacts on circuit CD variation. Stable though-pitch proximity behavior is one of the critical topics for foundry products, and will also be described in the paper.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Model-based scanner tuning for process optimization

Tsung-Chih Chien; C. Y. Shih; R. C. Peng; H. H. Liu; Ying-Shan Chen; H. J. Lee; John Lin; K. W. Chang; Chien-Liang Wu; W. H. Hung; Tommy Lee; H. C. Wu; Xiaoxu Xie; W. J. Shao; Chung-Hsing Chang; R. Aldana; Y. Cao; R. Goossens; Simon Hsieh

Given the continually decreasing k1 factor and process latitude in advanced technology nodes, it is important to fully understand and control the variables that impact imaging behavior in the lithography process. In this joint work between TSMC and ASML, we use model-based simulations to characterize and predict the imaging effects of these variables and to fine-tune the scanner settings based on such information in order to achieve optimal printing results on a perreticle basis. The scanner modeling makes use of detailed scanner characteristics as well as wafer CD measurements for accurate model construction. Simulations based on the calibrated model are subsequently used to predict the wafer impact of changes in tunable scanner parameters for all critical patterns in the product. The critical patterns can be identified beforehand, either experimentally on wafer, mask or through model simulations. A set of optimized scanner setting offsets, known as a “scanner tuning recipe” is generated to improve the imaging behavior for the critical patterns. We have demonstrated the efficacy of this methodology for multiple-use cases with selected ASML scanners and TSMC processes and will share the achieved improvements on defect reduction and yield improvements.


advanced semiconductor manufacturing conference | 2010

A holistic scanner matching solution for productivity enhancement in a Giga fab

Victor Shih; R. C. Peng; T. C. Chien; H. H. Liu; Ying-Shan Chen; Sophia Wang; H. J. Lee; John Lin; Willie Wang; Wenjin Yang; Jacky Huang; Chih-Ming Ke; T. S. Gao; Kaustuve Bhattacharyya; Maurits van der Schaar; Noelle Wright; Mir Shahrjerdy; Vivien Wang; Szu-Yuan Lin; Jon Wu; Sophie Peng; K. Lin; W. Lin; M. Un; Andreas Fuchs; Omer Adam; Cathy Wang; Karel van der Mast; W. J. Shao; X. Xie

In this work, we propose a new technique for comprehensive scanner matching to fundamentally improve scanner productivity in a Giga fab. The proposal covers matching solutions for both CD and overlay fingerprints among scanners. CD matching strategy has three main components. The first part is to apply modelbased scanner tuning for scanner optics matching. The second part is to apply hotplate-tuning mechanism for within-wafer CD uniformity improvement. The third part is to achieve focal plane control with a novel focus metrology method. Overlay control and matching are achieved with periodic inter-field and intra-field high order process correction with respect to the chosen baseline of overlay fingerprint for each scanner. Together with the existent inline automatic process control infrastructure, which suppresses the residual process-induced CD and overlay variations, a holistic scanner matching solution can be implemented in the fab for productivity and yield enhancements. Convincing proof data is provided in this paper to demonstrate the feasibility of our approach.


advanced information networking and applications | 2017

Heterogeneous Interconnection between SDN and Layer2 Networks Based on NSI

Ta-Yuan Chou; Wun-Yuan Huang; H. J. Lee; Te-Lung Liu; Joe Mambretti; Jim Hao Chen; Fei Yeh

Recently, Software Defined Network (SDN) technology has become widely used to take advantage of its features, including capabilities for optimization, flexibility, and customization. Many companies and organizations are applying this technology to build SDN networks for testbeds or production networks to address their requirements for optimization and customization. Currently, north bound and south bound APIs, which integrate SDN controllers and switches, are widely defined and implemented. However, an east-west interface standard for the communications among several SDN domains does not yet exist. In this paper, we propose such a method using Network Service Interface (NSI) to solve the east-west communication problem among SDN domains. The proposed method first uses OpenVirtex as the hypervisor in each SDN domain. We also extend OpenNSA, an open-source implementation of NSI agent, to deal with local OpenVirtex networks for communicating with other SDN domains. In addition, by using the standard NSI protocol, cross-platform interconnections between SDN and legacy networks can be achieved.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

CD control enhancement by laser bandwidth stabilization for advanced lithography application

R. C. Peng; Tony Wu; Ken Chang; Chung-Yu Yeh; H. H. Liu; H. J. Lee; John Lin; Allen Chang; Benjamin Szu-Min Lin

Control of circuit CD in a photolithographic process has become increasingly important, particularly for those advanced nodes below 45nm because it influences device performances greatly. The variation of circuit CD depends on many factors, for example, CD uniformity on reticles, focus, lens aberrations, partial coherence, photoresist performance and LASER spectral bandwidth. In this paper, we focus on LASER spectral bandwidth effects to reduce circuit CD variation. High-volume products of a leading technology node are examined and a novel LASER control function: Gas Lifetime eXtenstion (GLX) is implemented to obtain stable LASER bandwidth. The LASER bandwidth variation was stabilized by changing laser F2 gas concentration through advanced control algorithm and signal process techniques. Product photo-pattern CD variation and device electrical performances will be examined to confirm the benefits of the LASER bandwidth stabilization.

Collaboration


Dive into the H. J. Lee's collaboration.

Researchain Logo
Decentralizing Knowledge