Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Heba Khdr is active.

Publication


Featured researches published by Heba Khdr.


international conference on hardware/software codesign and system synthesis | 2014

TSP: thermal safe power: efficient power budgeting for many-core systems in dark silicon

Santiago Pagani; Heba Khdr; Waqaas Munawar; Jian-Jia Chen; Muhammad Shafique; Minming Li; Jörg Henkel

Chip manufacturers provide the Thermal Design Power (TDP) for a specific chip. The cooling solution is designed to dissipate this power level. But because TDP is not necessarily the maximum power that can be applied, chips are operated with Dynamic Thermal Management (DTM) techniques. To avoid excessive triggers of DTM, usually, system designers also use TDP as power constraint. However, using a single and constant value as power constraint, e.g., TDP, can result in big performance losses in many-core systems. Having better power budgeting techniques is a major step towards dealing with the dark silicon problem. This paper presents a new power budget concept, called Thermal Safe Power (TSP), which is an abstraction that provides safe power constraint values as a function of the number of simultaneously operating cores. Executing cores at any power consumption below TSP ensures that DTM is not triggered. TSP can be computed offline for the worst cases, or online for a particular mapping of cores. Our simulations show that using TSP as power constraint results in 50.5% and 14.2% higher average performance, compared to using constant power budgets (both per-chip and per-core) and a boosting technique, respectively. Moreover, TSP results in dark silicon estimations which are more optimistic than estimations using constant power budgets.


design automation conference | 2015

New trends in dark silicon

Jörg Henkel; Heba Khdr; Santiago Pagani; Muhammad Shafique

This paper presents new trends in dark silicon reflecting, among others, the deployment of FinFETs in recent technology nodes and the impact of voltage/frquency scaling, which lead to new less-conservative predictions. The focus is on dark silicon from a thermal perspective: we show that it is not simply the chips total power budget, e.g., the Thermal Design Power (TDP), that leads to the dark silicon problem, but instead it is the power density and related thermal effects. We therefore propose to use Thermal Safe Power (TSP) as a more efficient power budget. It is also shown that sophisticated spatio-temporal mapping decisions result in improved thermal profiles with reduced peak temperatures. Moreover, we discuss the implications of Near-Threshold Computing (NTC) and employment of Boosting techniques in dark silicon systems.


design automation conference | 2015

Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips

Heba Khdr; Santiago Pagani; Muhammad Shafique; Jörg Henkel

In dark silicon chips, a significant amount of on-chip resources cannot be simultaneously powered on and need to stay dark, i.e., power gated, in order to avoid thermal emergencies. This paper presents a resource management technique, called DsRem, that selects the number of active cores jointly with their voltage/frequency (v/f) levels, considering the high Instruction Level Parallelism (ILP) or Thread Level Parallelism (TLP) nature of different applications, in order to maximize the overall system performance. DsRem leverages the positioning of dark cores, to efficiently dissipate the heat generated by the active cores. This facilitates increasing the v/f level of the active cores, which leads to further performance improvement. Compared to state-of-the-art thermal-aware task application mapping, DsRem achieves up to 46% performance gain, while avoiding any thermal emergencies. Additionally, DsRem outperforms the boosting technique with 26%.


asia and south pacific design automation conference | 2013

Thermal management for dependable on-chip systems

Jörg Henkel; Thomas Ebi; Hussam Amrouch; Heba Khdr

Dependability has become a growing concern in the nano-CMOS era due to elevated temperatures and an increased susceptibility to temperature of the small structures. We present an overview of temperature-related effects that threaten dependability and a methodology for reducing the dependability concerns through thermal management utilizing the concept of aging budgeting.


design, automation, and test in europe | 2014

mDTM: Multi-objective dynamic thermal management for on-chip systems

Heba Khdr; Thomas Ebi; Muhammad Shafique; Hussam Amrouch; Jörg Henkel Karlsruhe

Thermal hot spots and unbalanced temperatures between cores on chip can cause either degradation in performance or may have a severe impact on reliability, or both. In this paper, we propose mDTM, a proactive dynamic thermal management technique for on-chip systems. It employs multi-objective management for migrating tasks in order to both prevent the system from hitting an undesirable thermal threshold and to balance the temperatures between the cores. Our evaluation on the Intel SCC platform shows that mDTM can successfully avoid a given thermal threshold and reduce spatial thermal variation by 22%. Compared to state-of-the-art, our mDTM achieves up to 58% performance gain. Additionally, we deploy an FPGA and IR camera based setup to analyze the effectiveness of our technique.


IEEE Transactions on Computers | 2017

Power Density-Aware Resource Management for Heterogeneous Tiled Multicores

Heba Khdr; Santiago Pagani; Ericles Rodrigues Sousa; Vahid Lari; Anuj Pathania; Frank Hannig; Muhammad Shafique; Jürgen Teich; Jörg Henkel

Increasing power densities have led to the dark silicon era, for which heterogeneous multicores with different power and performance characteristics are promising architectures. This paper focuses on maximizing the overall system performance under a critical temperature constraint for heterogeneous tiled multicores, where all cores or accelerators inside a tile share the same voltage and frequency levels. For such architectures, we present a resource management technique that introduces power density as a novel system level constraint, in order to avoid thermal violations. The proposed technique then assigns applications to tiles by choosing their degree of parallelism and the voltage/frequency levels of each tile, such that the power density constraint is satisfied. Moreover, our technique provides runtime adaptation of the power density constraint according to the characteristics of the executed applications, and reacting to workload changes at runtime. Thus, the available thermal headroom is exploited to maximize the overall system performance.


design, automation, and test in europe | 2016

Towards performance and reliability-efficient computing in the dark silicon era

Jörg Henkel; Santiago Pagani; Heba Khdr; Florian Kriebel; Semeen Rehman; Muhammad Shafique

This paper discusses the power density and temperature induced issues in modern on-chip systems due to the high integration density and roadblock on the voltage scaling. First, the emerging dark silicon problem is discussed, and the corresponding critical research challenges in future chips are enumerated. Afterwards, we present an overview of some key research efforts and concepts that leverage dark silicon for performance and reliability optimization of on-chip systems under power or temperature constraints. The summarized works account for heat transfer inside a chip, as well as the varying performance and power trade-offs of gray silicon, that is, the potential benefits of operating at lower-than-nominal voltage and frequency levels. Besides realizing reliability-heterogeneous architectures, reliability of an on-chip system is enhanced by exploiting dark silicon for aging deceleration and resilience-driven resource management to mitigate soft-errors. Several of the tools discussed in this paper are available for download at http://ces.itec.kit.edu/download.


IEEE Transactions on Computers | 2017

Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon

Santiago Pagani; Heba Khdr; Jian-Jia Chen; Muhammad Shafique; Minming Li; Jörg Henkel

Chip manufacturers provide the Thermal Design Power (TDP) for a specific chip. The cooling solution is designed to dissipate this power level. But because TDP is not necessarily the maximum power that can be applied, chips are operated with Dynamic Thermal Management (DTM) techniques. To avoid excessive triggers of DTM, usually, system designers also use TDP as power constraint. However, using a single and constant value as power constraint, e.g., TDP, can result in significant performance losses in homogeneous and heterogeneous manycore systems. Having better power budgeting techniques is a major step towards dealing with the dark silicon problem. This paper presents a new power budget concept, called Thermal Safe Power (TSP), which is an abstraction that provides safe power and power density constraints as a function of the number of simultaneously active cores. Executing cores at any power consumption below TSP ensures that DTM is not triggered. TSP can be computed offline for the worst cases, or online for a particular mapping of cores. TSP can also serve as a fundamental tool for guiding task partitioning and core mapping decisions, specially when core heterogeneity or timing guarantees are involved. Moreover, TSP results in dark silicon estimations which are less pessimistic than estimations using constant power budgets.


networks on chips | 2015

Dark Silicon: From Computation to Communication

Jörg Henkel; Haseeb Bukhari; Siddharth Garg; Muhammad Usman Karim Khan; Heba Khdr; Florian Kriebel; Umit Y. Ogras; Sri Parameswaran; Muhammad Shafique

In the emerging Dark Silicon era, not all parts of an on-chip system (i.e., cores, Network-on-Chip, and memory resources) can be simultaneously powered-on at the full speed. This paper aims at exposing dark silicon challenges to the NOCS community with an overview of some of the early research efforts that are attempting to shape the design and run-time management of future generation heterogeneous dark silicon processors. The goal is to cover both the computation and communication perspectives. In particular, we exploit computation and communication heterogeneity at multiple levels of system abstractions to design and manage dark silicon processors. The available dark silicon is leveraged to improve power/energy, performance, and reliability efficiency.


international conference on hardware/software codesign and system synthesis | 2015

seBoost: selective boosting for heterogeneous manycores

Santiago Pagani; Muhammad Shafique; Heba Khdr; Jian-Jia Chen; Jörg Henkel

Boosting techniques have been widely adopted in commercial multicore and manycore systems, mainly because they provide means to satisfy performance requirements surges, for one or more cores, at run-time. Current boosting techniques select the boosting levels (for boosted cores) and the throttle-down levels (for non-boosted cores) either arbitrarily or through step-wise control approaches. These methods might result in unnecessary performance losses for the non-boosted cores, in short boosting intervals, in failing to satisfy the required performance surges, or in unnecessary high power and energy consumption. This paper presents an efficient and lightweight run-time boosting technique based on transient temperature estimation, called seBoost. Our technique guarantees meeting the performance requirements surges at run-time, thus maximizing the boosting time with a minimum loss of performance for the non-boosted cores.

Collaboration


Dive into the Heba Khdr's collaboration.

Top Co-Authors

Avatar

Jörg Henkel

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Muhammad Shafique

Vienna University of Technology

View shared research outputs
Top Co-Authors

Avatar

Santiago Pagani

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Hussam Amrouch

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Jian-Jia Chen

Technical University of Dortmund

View shared research outputs
Top Co-Authors

Avatar

Anuj Pathania

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Minming Li

City University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Ericles Rodrigues Sousa

University of Erlangen-Nuremberg

View shared research outputs
Top Co-Authors

Avatar

Florian Kriebel

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Frank Hannig

University of Erlangen-Nuremberg

View shared research outputs
Researchain Logo
Decentralizing Knowledge