Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Heidi B. Cao is active.

Publication


Featured researches published by Heidi B. Cao.


Journal of Vacuum Science & Technology B | 2007

Acid distribution in chemically amplified extreme ultraviolet resist

Takahiro Kozawa; Seiichi Tagawa; Heidi B. Cao; Hai Deng; Michael J. Leeson

Acid generators are sensitized by secondary electrons in chemically amplified resists for ionizing radiation. As acid generators react with low-energy electrons (as low as thermal energy), this sensitization mechanism generates a significant blur and an inhomogeneous acid distribution at the image boundary, which results in line edge roughness (LER) formation. The evaluation of resolution blur intrinsic to the reaction mechanisms is important in the optimization of resist processes for extreme ultraviolet (EUV) lithography, especially from the viewpoint of LER. In this study, the authors simulated acid generation induced by EUV photons in poly(4-hydroxystyrene) with 10wt% triphenylsulfonium triflate and clarified the extent of resolution blur in latent acid images and theoretical acid generation efficiency. The average distance between the EUV absorption point and the acid generation point (resolution blur) is 6.3nm. The theoretical acid generation efficiency through the ionization path is 2.6 per EUV photon in the model system. Considering the deprotonation efficiency of polymer radical cations and the contribution of electronic excited states, the acid generation efficiency including the excitation path is 2.0–2.8 in typical resist materials with 10wt% acid generator loading.Acid generators are sensitized by secondary electrons in chemically amplified resists for ionizing radiation. As acid generators react with low-energy electrons (as low as thermal energy), this sensitization mechanism generates a significant blur and an inhomogeneous acid distribution at the image boundary, which results in line edge roughness (LER) formation. The evaluation of resolution blur intrinsic to the reaction mechanisms is important in the optimization of resist processes for extreme ultraviolet (EUV) lithography, especially from the viewpoint of LER. In this study, the authors simulated acid generation induced by EUV photons in poly(4-hydroxystyrene) with 10wt% triphenylsulfonium triflate and clarified the extent of resolution blur in latent acid images and theoretical acid generation efficiency. The average distance between the EUV absorption point and the acid generation point (resolution blur) is 6.3nm. The theoretical acid generation efficiency through the ionization path is 2.6 per EUV pho...


Japanese Journal of Applied Physics | 2007

Polymer-Structure Dependence of Acid Generation in Chemically Amplified Extreme Ultraviolet Resists

Hiroki Yamamoto; Takahiro Kozawa; Seiichi Tagawa; Heidi B. Cao; Hai Deng; Michael J. Leeson

For chemically amplified photoresists, the increase in the absorption of incident radiation by polymers leads to a decrease in the absorption by acid generators. Therefore, the absorption by polymers generally degrades resist sensitivities. However, this is not true for chemically amplified electron-beam (EB) resists because of the difference in the sensitization mechanisms of the acid generators. Whether the reaction mechanism of extreme-ultraviolet (EUV) resists is analogous to that of photoresists or EB resists is critical for material design. The difference in the reaction mechanisms characteristically appears in the polymer-structure dependence of the acid yield. In this study, we evaluated the relative dependence of the acid yield generated in polystyrene derivatives on the exposure to EUV. The dependence was similar to that of EB resists.


Journal of Materials Chemistry | 2006

Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography

Seung Wook Chang; Ramakrishnan Ayothi; Daniel Bratton; Da Yang; Nelson M. Felix; Heidi B. Cao; Hai Deng; Christopher K. Ober

Extreme ultra violet (EUV) lithography is one of the most promising next generation lithographic techniques for the production of sub-50 nm feature sizes with applications in the semiconductor industry. Coupling this technique with molecular glass resists is an effective strategy for high resolution lithographic patterning. In this study, a series of tert-butyloxycarbonyl (t-Boc) protected C-4-hydroxyphenyl-calix[4]resorcinarenes derivatives were synthesized and evaluated as positive tone molecular glass resists for EUV lithography. The amorphous nature of these molecules was confirmed using thermal analysis, FTIR and powder X-ray diffraction. Feature sizes as small as 30 nm with low line edge roughness (4.5 nm, 3σ) were obtained after patterning and development.


Emerging Lithographic Technologies IX | 2005

One small step: world's first integrated EUVL process line

Jeanette M. Roberts; Terence Bacuita; Robert Bristol; Heidi B. Cao; Manish Chandhok; Sang H. Lee; Eric M. Panning; Melissa Shell; Guojing Zhang; Bryan J. Rice

The Intel lithography roadmap calls for Extreme Ultraviolet Lithography (EUVL) to be used for the 32 nm node. With the installation of the EUV Micro-Exposure Tool (MET) complete, Intel now has the worlds first integrated EUVL process line including the first commercial EUV exposure tool. This process line will be used to develop the EUV technology, including mask and resist, and to investigate issues such as defect printability. It also provides a test-bed to discover and resolve problems associated with using this novel technology in a fab (not lab) environment. Over 22,000 fields have been exposed, the discharge-produced plasma light source has operated for 50,000,000 pulses, 8 masks have been fabricated, and 8 resists have been characterized. The MET combines high resolution capability with Intels advanced processing facilities to prepare EUVL for high-volume manufacturing (HVM). In this paper we review the MET installation and facilities, novel capabilities of the linked track, data on optics quality and modeled tool capability, and the MET mask fabrication process. We present data on tool performance including printing 45 nm 1/2 pitch lines with 160 nm depth of focus and 27 nm isolated lines. We show tool accuracy and repeatability data, and discuss issues uncovered during installation and use.


Advances in resist technology and processing. Conference | 2005

Materials for future lithography

Seung Wook Chang; Da Yang; Junyan Dai; Nelson M. Felix; Daniel Bratton; Kousuke Tsuchiya; Young-Je Kwark; Juan-Pablo Bravo-Vasquez; Christopher K. Ober; Heidi B. Cao; Hai Deng

The demands for high resolution and issues of line edge roughness require a reconsideration of current resist design strategies. In particular, EUV lithography will provide an opportunity to examine new resist concepts including new elemental compositions and low molar mass resists or molecular resists. In the former case, resist compositions incorporating elements such as silicon and boron have been explored for EUV resists and will be described. In an example of the latter case, molecular glass resists have been designed using synthetic architectures in globular and core-arm forms ranging from one to multiple arms. Moreover, our studies include a series of ring and irregularly shaped small molecules modified to give imaging performance. These materials have been explored to improve line edge roughness (LER) compared to common polymer resists. Several examples of polymeric and molecular glass resists will be described. Several compositions showed high glass transition temperatures (Tg) of ~ 120°C and possessed no crystallinity as seen from XRD studies. Negative-tone molecular glass resists with a T-shaped phenolic core structure, 4-[4-[1,1-Bis(4-hydroxyphenyl)ethyl]]-α,α-dimethylbenzylphenol, have demonstrated feature sizes as small as 50mn. Similarly, negative-tone images made using spiro-based compounds showed feature size as small as 60nm in lines/space patterns using e-beam lithography. Most recently we have demonstrated that fully and partially tert-butoxycarbonyl (t-Boc) protected calix[4]resorcinarene derivatives can be successfully studied as a positive-tone resist using EUV and E-beam lithography. Resolution as low as 35nm was obtained by EUV exposure.


Journal of Micro-nanolithography Mems and Moems | 2007

Exposure dose dependence on line edge roughness of a latent image in electron beam/extreme ultraviolet lithographies studied by Monte Carlo technique

Akinori Saeki; Takahiro Kozawa; Seiichi Tagawa; Heidi B. Cao; Hai Deng; Michael J. Leeson

Of great importance in post-optical lithographies, such as electron beam (EB) and extreme ultraviolet, is the improvement of line edge roughness or line width roughness of patterned resists. We provide an exposure dose dependence on LER of a latent image in chemically amplified EB resist from 1 to 50 µC/cm2. By using a Monte Carlo simulation and empirical equations, the effects of exposure dose and amine concentration on LER are investigated in terms of shot noise and image contrast. We make clear the correlation between LER and the fluctuation of the initial number of acid molecules generated in resists.


Journal of Vacuum Science & Technology B | 2006

Correlation between proton dynamics and line edge roughness in chemically amplified resist for post-optical lithography

Akinori Saeki; Takahiro Kozawa; Seiichi Tagawa; Heidi B. Cao

In chemically amplified (CA) resists for electron beam (EB) and extreme ultraviolet (EUV) lithographies, acids (a pair of proton and counteranion) generated upon exposure are utilized for resist pattern formation. As protons and counteranions are separately generated during the exposure, they initially have different spatial distributions. In this work, the authors investigated the effects of proton dynamics during the recombination between protons and counteranions and subsequent acid diffusion during postexposure bake on the line edge roughness (LER) formation of the latent image. The proton dynamics and acid diffusion were simulated by a Monte Carlo technique based on diffusion under Coulomb potential induced by many-body charged species. Based on the results shown here, the correlation between the linewidth and LER as a function of exposure dose and the concentration of base additives are discussed in terms of proton and acid trajectories. The obtained aspects not only provide detailed insight into th...


Advances in Resist Technology and Processing XX | 2003

Effects of processing parameters on line-width roughness

Bryan J. Rice; Heidi B. Cao; Manish Chandhok; Robert P. Meagley

Line width roughness (LWR), transferred from a patterned photoresist to a gate during the etch process, may have a significant effect on the device performance beginning with the 65 nm technology node. Two factors that make LWR a greater concern for this node than for previous technology nodes are: 1) LWR does not scale in proportion to the critical dimensions (CDs), and 2) LWR has been shown to increase as film thickness decreases. A significant challenge for this technology node is the development of a resist process with sufficiently low LWR. In this paper, we investigate the effect that changing processing conditions has on LWR. We begin by reviewing the literature to determine which processing parameters have been shown to impact LWR. We then present experimental results that show how variations in processing parameters affect LWR. We conclude with molecular data showing the relation between resist surface roughness and LWR.


Advances in Resist Technology and Processing XXI | 2004

Sources of Line Width Roughness for EUV Resists

Heidi B. Cao; Wang Yueh; Bryan J. Rice; Jeanette M. Roberts; Terence Bacuita; Manish Chandhok

Resists for the next generation of lithography must be able to meet stringent line width roughness (LWR) targets. The LWR requirements, governed by device performance, are the same regardless of the lithographic technology that is chosen. Unfortunately no resist platform for any technology (EUV, 157 nm, 193 nm) is on track to meet the targets for the 45 nm and the 32 nm technology nodes. In order to understand the fundamental sources of LWR, we designed an experiment to statistically vary resist parameters for EUV resists. The results of this study show methods to improve LWR and shed light on the sources of LWR.


Advances in Resist Technology and Processing XX | 2003

Novel silicon-containing polymers as photoresist materials for EUV lithography

Young-Je Kwark; Juan-Pablo Bravo-Vasquez; Christopher K. Ober; Heidi B. Cao; Hai Deng; Robert P. Meagley

Performance requirements for EUV resists may require the development of entirely new polymer platforms. In the first approach, we have synthesized norbornene-based copolymers using ring-opening metathesis polymerization (ROMP). Silicon containing norbornenes were synthesized and copolymerized with a series of monomers having acid sensitive and polar groups, including nitrile, carboxylic acid, hydroxyl, and anhydride functions to achieve random copolymers with suitable properties to be applied as resist materials. Using well-characterized metal alkylidene complexes, we could prepared polymers having controlled molecular weights and low polydispersities. From initial exposure studies using an EUV interferometer, we were able to pattern 150 nm pitchs without additional optimization. In the second approach, polysilane has been copolymerized with acid sensitive monomers (acrylate and styrene derivatives) to produced chemically amplified polysilane-copolymers.

Collaboration


Dive into the Heidi B. Cao's collaboration.

Researchain Logo
Decentralizing Knowledge