Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroo Kinoshita is active.

Publication


Featured researches published by Hiroo Kinoshita.


Journal of Vacuum Science & Technology B | 1989

Soft x‐ray reduction lithography using multilayer mirrors

Hiroo Kinoshita; Kenji Kurihara; Y. Ishii; Y. Torii

A soft x‐ray lithograpy using multilayer mirrors for demagnifying optics and a reflecting mask has been designed and studied experimentally. In this system, a wavelength of 45–130 A has been selected based on the optical characteristics, the exposed depth of the resist film, and the reflectivity of the multilayer mirror. To obtain a replication pattern resolution of 0.2 μm, the numerical aperture required is estimated to be greater than 0.0125 or 0.0325 for a wavelength of 50 or 130 A, respectively. These values show that the multilayer optics using two mirrors can be realized to replicate a 0.2 μm pattern. The experiments were performed on the SR beamline BL‐1 of the KEK‐PF storage ring. The Schwarzschild demagnifying optics with a ring field were designed and fabricated. Demagnified exposure patterns of less than 0.5 μm have been obtained using a reflecting mask. The feasibility of the soft x‐ray reduction method using multilayer mirrors has been confirmed. Furthermore, new telecentric optics are propos...


Optics Express | 2011

Optical properties and Faraday effect of ceramic terbium gallium garnet for a room temperature Faraday rotator

Hidetsugu Yoshida; Koji Tsubakimoto; Yasushi Fujimoto; Katsuhiro Mikami; Hisanori Fujita; Noriaki Miyanaga; Hoshiteru Nozawa; Hideki Yagi; Takagimi Yanagitani; Yutaka Nagata; Hiroo Kinoshita

The optical properties, Faraday effect and Verdet constant of ceramic terbium gallium garnet (TGG) have been measured at 1064 nm, and were found to be similar to those of single crystal TGG at room temperature. Observed optical characteristics, laser induced bulk-damage threshold and optical scattering properties of ceramic TGG were compared with those of single crystal TGG. Ceramic TGG is a promising Faraday material for high-average-power YAG lasers, Yb fiber lasers and high-peak power glass lasers for inertial fusion energy drivers.


SPIE Photomask Technology | 2011

Printability of native blank defects and programmed defects and their stack structures

Hyuk Joon Kwon; Jenah Harris-Jones; Ranganath Teki; Aaron Cordes; Toshio Nakajima; Iacopo Mochi; Kenneth A. Goldberg; Yuya Yamaguchi; Hiroo Kinoshita

We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks using the state-of-the-art mask metrology equipment in SEMATECHs Mask Blank Development Center (MBDC). We used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECHs actinic inspection tool (AIT) was used to image defects and predict their printability. Defect images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM) dimensions. The printability of native and programmed defects was also investigated.


Journal of Vacuum Science & Technology B | 2009

Mask observation results using a coherent extreme ultraviolet scattering microscope at NewSUBARU

Tetsuo Harada; Junki Kishimoto; Takeo Watanabe; Hiroo Kinoshita; Dong-Gun Lee

A coherent scattering microscope for extreme ultraviolet (EUV) light has been developed for the actinic inspection of EUV lithography masks. It was installed at the NewSUBARU synchrotron facility. It provides aberration-free, diffraction-limited imaging and a high numerical aperture. Coherent EUV light scattered (diffracted) from a mask is recorded using an EUV charged coupled device camera with a numerical aperture of 0.15. An image of the sample is reconstructed using a hybrid input-output algorithm, which retrieves the phase from the intensity data. Masks containing periodic line-and-space and hole patterns with a half-pitch ranging from 100to400nm were fabricated in the laboratory and imaged. The reconstructed images correlate well with images obtained with a scanning electron microscope (SEM). The actinic critical dimension of the linewidth of TaN absorber patterns on a mask was measured and was consistently found to be 25nm larger than that obtained from the SEM data.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2011

Imaging of extreme-ultraviolet mask patterns using coherent extreme-ultraviolet scatterometry microscope based on coherent diffraction imaging

Tetsuo Harada; Masato Nakasuji; Teruhiko Kimura; Takeo Watanabe; Hiroo Kinoshita; Yutaka Nagata

In extreme-ultraviolet (EUV) lithography, defect-free mask production is a critical issue for high-volume manufacturing. For mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM). It is a simple lensless system. An aerial image of the mask pattern is reconstructed with iterative calculation based on coherent diffraction imaging. Periodic patterns, aperiodic patterns, and phase structures were reconstructed well by the CSM. A defect in a line-and-space pattern was detected as a diffraction signal. The aerial image of the defect is also reconstructed. This paper demonstrates the capability of the CSM to observe complex diffraction amplitudes directly from the pattern and the defect.


Journal of Vacuum Science & Technology B | 2004

Actinic mask metrology for extreme ultraviolet lithography

Hiroo Kinoshita; Tsuneyuki Haga; Kazuhiro Hamamoto; Shintaro Takada; Naoki Kazui; Satoshi Kakunai; Harushige Tsubakino; Tsutomu Shoki; M. Endo; Takeo Watanabe

A new actinic mask inspection system has been developed, and simulations were carried out on its imaging performance. Preliminary experiments using the extreme ultraviolet (EUV) microscope developed at NTT have shown that it can resolve 250- and 350-nm-wide lines (on a mask). Furthermore, the type of absorber material used in a mask was found to have some effect on the contrast of mask images taken by EUVM. Our results demonstrate that an at-wavelength microscope is a powerful and useful tool for evaluating mask fabrication processes for EUVL.


Journal of Vacuum Science & Technology B | 1983

A dual grating alignment technique for x‐ray lithography

Hiroo Kinoshita; Atsunobu Une; Makoto Iki

In order to realize a highly accurate alignment technique for submicron x‐ray lithography, we have developed a new dual grating alignment technique capable of detecting both the lateral position and gap with resolutions of 0.01 and 0.1 μm, respectively. In a conventional dual grating alignment scheme, the alignment signal is the difference in intensities of +1st and −1st order diffracted light from a pair of grating marks on the mask and wafer. However, it is difficult to apply the above scheme to a practical alignment system in spite of its high detection sensitivity capability. The reason for this is that the alignment signal is very sensitive to variations in the gap between the mask and wafer. To overcome this disadvantage, we have analyzed the influence of the gap variations on position detection accuracy, and found that the sum of intensities between +1st and −1st order diffracted light reaches maximum when the gap is equal to a specific value. This characteristic applies to any lateral displacement...


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2013

Phase defect characterization on an extreme-ultraviolet blank mask using microcoherent extreme-ultraviolet scatterometry microscope

Tetsuo Harada; Yusuke Tanaka; Takeo Watanabe; Hiroo Kinoshita; Youichi Usui; Tsuyoshi Amano

Defect-free mask production is a critical issue in extreme-ultraviolet (EUV) lithography. On EUV masks, phase defects are buried by multilayer coating, which is a serious EUV-specific issue. These defects should be hidden or be compensated completely by the absorber pattern for the production of defect-free masks. A phase image of the phase defects at the EUV lithography exposure wavelength is essential to characterize the defects. For characterization of phase defects, the authors have developed the microcoherent EUV scatterometry microscope (micro-CSM). This system is lensless and is based on a coherent diffraction imaging method, which records diffraction images. The intensity and phase images of the defects are reconstructed through iterative calculations. The micro-CSM system has focusing optics of a Fresnel zone plate to observe small defect. The detection size limits of the phase defects were a width of 25 nm and a depth of 1.4 nm. Diffraction images from an asymmetric phase defect were related wel...


Journal of Vacuum Science & Technology B | 2005

Mask defect inspection using an extreme ultraviolet microscope

Kazuhiro Hamamoto; Y. Tanaka; S. Y. Lee; N. Hosokawa; Noriyuki Sakaya; Morio Hosoya; Tsutomu Shoki; Takeo Watanabe; Hiroo Kinoshita

A defect inspection technique on an extreme ultraviolet lithography mask is described. There are two kinds of defects, amplitude defects and phase defects due to the multilayer coating. The technique utilizes a microscope using the same 13.5 nm wavelength as the light used for exposure, and producing a magnified image of defects on a mask. Using this microscope, amplitude defects on practical masks and phase defects are observed. A phase defect was formed by a multilayer coated on a line pattern with a height of 5 nm and width of 90 nm on a glass substrate. Although the detected defect is made beforehand, it is detected by reflection of the light which penetrated inside of a multilayer. These results show that it is possible to detect the internal reflectivity distribution, without depending on surface perturbations.


Journal of Vacuum Science & Technology B | 2001

Photoinduced outgassing from the resist for extreme ultraviolet lithography by the analysis of mass spectroscopy

Takeo Watanabe; Hiroo Kinoshita; Hajime Nii; Kazuhiro Hamamoto; Harushige Tsubakino; Hideo Hada; Hiroshi Komano; Shigeo Irie

Extreme ultraviolet lithography (EUVL) requires the vacuum environment for exposing the resist. The contamination in the vacuum environment decreases the reflectivity of the reflective mask and that of the imaging optics. The photoinduced outgassing from the resist becomes the contamination in the vacuum environment. Therefore, the outgassing detection investigation is very important. The outgassing from the chemically amplified (CA) resists EUV001 for EUVL, EUV006N for EUVL, UV5 for KrF lithography and the nonchemically amplified resists OEBR2000 and ZEP520 for electron beam lithography were investigated. Based on the photoinduced reactions of the resist, the fragment ions species that were measured by the quadrupole mass spectrometer were identified. It is found that the amount of the photoinduced outgassing such as hydrocarbons from the DQN resist and annealing-type CA positive-tone resist is small.

Collaboration


Dive into the Hiroo Kinoshita's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge