Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsutomu Shoki is active.

Publication


Featured researches published by Tsutomu Shoki.


Journal of Vacuum Science & Technology B | 2004

Actinic mask metrology for extreme ultraviolet lithography

Hiroo Kinoshita; Tsuneyuki Haga; Kazuhiro Hamamoto; Shintaro Takada; Naoki Kazui; Satoshi Kakunai; Harushige Tsubakino; Tsutomu Shoki; M. Endo; Takeo Watanabe

A new actinic mask inspection system has been developed, and simulations were carried out on its imaging performance. Preliminary experiments using the extreme ultraviolet (EUV) microscope developed at NTT have shown that it can resolve 250- and 350-nm-wide lines (on a mask). Furthermore, the type of absorber material used in a mask was found to have some effect on the contrast of mask images taken by EUVM. Our results demonstrate that an at-wavelength microscope is a powerful and useful tool for evaluating mask fabrication processes for EUVL.


Proceedings of SPIE | 2010

Improvement of total quality on EUV mask blanks toward volume production

Tsutomu Shoki; Masaru Mitsui; Minoru Sakamoto; Noriyuki Sakaya; Masato Ootsuka; Tasuto Asakawa; Takeyuki Yamada; Hideaki Mitsui

Total quality on EUV mask blanks have to be improved toward future volume production. In this paper, progress in EUV blank development and improvement in flatness, bow and ML blank defects as critical issues on EUV blanks were reported. Steadily progress in flatness improvement was made in the past five years by improving polishing processes. A LTE substrate with a high flatness of 78 nm PV in 142 mm square area was achieved in average. Annealing process was developed to make small bow of less than 600 nm after ML coating. It was confirmed that annealed ML blank has stable performance in bow and centroid wavelength values through mask making process. Small bow of less than 300 nm was successfully demonstrated using annealing process and a CrN back side film with high compressive stress. Low defects of 0.05 defects/cm2 at 70 nm SiO2 sensitivity inspected by a Lasertec M1350 was demonstrated on a multilayer (ML) blank with a LTE substrate as best. Small defects over 50 nm in a M7360 were effectively reduced by improvement of polishing process consisting of local polish, touch polish and cleaning.


Journal of Vacuum Science & Technology B | 2005

Mask defect inspection using an extreme ultraviolet microscope

Kazuhiro Hamamoto; Y. Tanaka; S. Y. Lee; N. Hosokawa; Noriyuki Sakaya; Morio Hosoya; Tsutomu Shoki; Takeo Watanabe; Hiroo Kinoshita

A defect inspection technique on an extreme ultraviolet lithography mask is described. There are two kinds of defects, amplitude defects and phase defects due to the multilayer coating. The technique utilizes a microscope using the same 13.5 nm wavelength as the light used for exposure, and producing a magnified image of defects on a mask. Using this microscope, amplitude defects on practical masks and phase defects are observed. A phase defect was formed by a multilayer coated on a line pattern with a height of 5 nm and width of 90 nm on a glass substrate. Although the detected defect is made beforehand, it is detected by reflection of the light which penetrated inside of a multilayer. These results show that it is possible to detect the internal reflectivity distribution, without depending on surface perturbations.


Journal of Vacuum Science & Technology B | 2005

Cleaning of extreme ultraviolet lithography optics and masks using 13.5nm and 172nm radiation

Kazuhiro Hamamoto; Y. Tanaka; Takeo Watanabe; Noriyuki Sakaya; Morio Hosoya; Tsutomu Shoki; Hideo Hada; N. Hishinuma; H. Sugahara; Hiroo Kinoshita

Under extreme ultraviolet (EUV) exposure, the surfaces of the imaging optics and mask, which are coated with a Mo∕Si multilayer, become contaminated with organic compounds. Thus, an efficient method of removing carbon contamination from masks and the imaging optics is required. Then, we propose two methods as a removal method of contamination: one is in situ cleaning method without heating a sample by synchrotron radiation irradiation that is mainly targeted at the imaging optics, and another is cleaning method without heating a sample using by 172nm light irradiation that is targeted at an EUV lithography finished mask. For in situ cleaning so called online cleaning, the contamination removal rate is 0.24nm∕min in the condition of O2-rich-vacuum environment at a pressure of 5.0×10−2Pa and an electron beam current of 130mA of 1.0GeV electron storage ring. For offline cleaning using 172nm light, the contamination removal rate is 2nm∕min in the O2-rich-vacuum environment at the pressure of 2×10−3Pa. Both tw...


Japanese Journal of Applied Physics | 2005

Novel Evaluation System for Extreme Ultraviolet Lithography Resist in NewSUBARU

Takeo Watanabe; Hiroo Kinoshita; Noriyuki Sakaya; Tsutomu Shoki; Seung Yoon Lee

A standard assessment system for resist development with extreme ultraviolet (EUV) light was developed. The system for the evaluation of an EUV resist was installed at the BL3 beamline in the NewSUBARU synchrotron radiation facility. This optical system simulates a six-mirror imaging system. Incident light is reflected seven times in the chamber. The centroid wavelength is 13.57 nm. The beam size at the sample surface is 4×4 mm2 and we can take twelve shots for each sample by moving the sample in the lateral direction. Furthermore, a highly sensitive quadrupole mass spectrometer that can measure the mass numbers of ion species from 1 to 500 and is connected to a resist evaluation chamber is used for resist outgassing analysis under EUV irradiation. The main purpose of this whole system is to evaluate the basic physical and chemical properties of various EUV resists candidates, such as sensitivity and outgas characteristics.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

EUVL practical mask structure with light shield area for 32nm half pitch and beyond

Takashi Kamo; Hajime Aoyama; Toshihiko Tanaka; Osamu Suga; Tsukasa Abe; Tadahiko Takikawa; Naoya Hayashi; Tsutomu Shoki; Youichi Usui; Morio Hosoya

The effect of mask structure with light shield area on the printability in EUV lithography was studied. When very thin absorber on EUVL mask is used for ULSI application, it then becomes necessary to create EUV light shield area on the mask in order to suppress possible leakage of EUV light from neighboring exposure shots. We proposed and fabricated two types of masks with very thin absorber and light shield area structure. For both types of masks we demonstrated high shield performances at light shield areas by employing a Small Field Exposure Tool (SFET).


Japanese Journal of Applied Physics | 2005

Actinic Mask Inspection Using an EUV Microscope-Preparation of a Mirau Interferometer for Phase-Defect Detection-

Kazuhiro Hamamoto; Yuzuru Tanaka; Hirotake Kawashima; Seung Yoon Lee; Nobuyuki Hosokawa; Noriyuki Sakaya; Morio Hosoya; Tsutomu Shoki; Takeo Watanabe; Hiroo Kinoshita

This paper describes a mask defect inspection system using 13.5 nm light for extreme ultraviolet lithography (EUVL). The Schwarzschild optics which is employed as a microscope optics has a numerical aperture (NA) of 0.3 and a magnification of 30. Furthermore, it has a potential of detecting defects as small as 22 nm on a mask. In order to inspect defects with the phase change induced by swelling of the multilayer, a Mirau interferometer is employed. It is developed that the performance of the optical system, the focal position detection mechanism for image detection while scanning, and the driving mechanism of the reference mirror for the Mirau interference. Since the accuracy of the driving mechanism of the reference was found to be 0.1 nm, enough performance of the ring-shaped piezo actuator for interference measurement was confirmed. Moreover, examples of the mask inspection by this system are shown.


Photomask and next-generation lithography mask technology. Conference | 2002

Process development of 6-in EUV mask with TaBN absorber

Tsutomu Shoki; Morio Hosoya; Takeru Kinoshita; Hideo Kobayashi; Youichi Usui; Ryo Ohkubo; Shinichi Ishibashi; Osamu Nagarekawa

6-inch EUV masks consisting of Mo/Si multilayers and patterned CrX buffer and TaBN absorber layers have recently been developed and evaluated. Mo/Si multilayers with a relatively high EUV reflectivity of 66 percent and an excellent uniformity were obtained on the polished ULE substrates by an ion beam sputtering method. The multilayers showed high durability to the acid abased cleaning and baking at 150 degrees C used in the conventional mask-making process. The Cr based film was optimized as a repair buffer to obtain a high reflectivity of 52 percent at 257 nm and low stress within 100 MPa. TaBN absorbers with a low reflectivity were obtained by optimizing the film compositions, which resulted in a high image contrast to the multilayer for DUV inspection. An EUV contrast level of 99 percent was achieved for a thinner, 100-nm thick absorber stack. Using the optimized mask process, EUV mask with patterns of 180-nm width were successfully obtained, without a significant drop in EUV reflectivity.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Process development for EUV mask production

Tsukasa Abe; Akiko Fujii; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Tsutomu Shoki; Takeyuki Yamada; Osamu Nozawa; Ryo Ohkubo; Masao Ushida

Absorber layer patterning process for low reflectivity tantalum boron nitride (LR-TaBN) absorber layer and chromium nitride (CrN) buffer layer were improved to satisfy high resolution pattern and high level critical dimension (CD) control. To make 100nm and smaller pattern size, under 300nm resist thickness was needed because of resist pattern collapse issue. We developed absorber layer dry etching process for 300nm thickness resist. Absorber layer patterning was done by a consequence of carbon fluoride gas process and chlorine gas process. We evaluated both gas processes and made clear each dry etching character. Sufficient resist selectivity, vertical side wall, good CD control and low buffer layer damage were obtained. Then, we evaluated how buffer layer dry etching affects EUV reflectivity. Finally, we evaluated EUV mask pattern defect inspection and defect repair. Sufficient contrast of mask pattern image and good repair result were obtained using DUV inspection tool and AFM nano-machining tool, respectively.


Journal of Vacuum Science & Technology B | 1998

Nanometer scattered-light alignment system using SiC x-ray masks with low optical transparency

Tsutomu Miyatake; Masaoki Hirose; Tsutomu Shoki; Ryo Ohkubo; Kuniaki Yamazaki

Previously we described a video-based scattered-light alignment (SLA) system, capable of nanometer-scale alignment accuracy. In order to meet highly accurate alignment with low optical transparency in x-ray masks, we performed the modifications of alignment marks and an optical microscope imaging system on the conventional SLA system. The advanced SLA system has achieved a high alignment accuracy of 10.2–15.7 nm (|mean|+3σ) using a silicon carbide (SiC) x-ray mask of 18% optical transparency, coated with 5 nm thick chrome (Cr) film as an etching stop, with four different processed wafers: nitride, oxide, poly-Si, and aluminum. The different SiC membranes of 2–5 μm in thickness did not have an effect on the alignment accuracy in the nitride wafer.

Collaboration


Dive into the Tsutomu Shoki's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge