Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hisanobu Azuma is active.

Publication


Featured researches published by Hisanobu Azuma.


Proceedings of SPIE | 2016

Defectivity and particle reduction for mask life extension, and imprint mask replication for high-volume semiconductor manufacturing

Keiji Emoto; Fumio Sakai; Chiaki Sato; Yukio Takabayashi; Hitoshi Nakano; Tsuneo Takabayashi; Kiyohito Yamamoto; Tadashi Hattori; Mitsuru Hiura; Toshiaki Ando; Yoshio Kawanobe; Hisanobu Azuma; Takehiko Iwanaga; Jin Choi; Ali Aghili; Chris Jones; J. W. Irving; Brian Fletcher; Zhengmao Ye

Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. Criteria specific to any lithographic process for the semiconductor industry include overlay, throughput and defectivity. The purpose of this paper is to describe the technology advancements made in the reduction of particle adders in an imprint tool and introduce the new mask replication tool that will enable the fabrication of replica masks with added residual image placement errors suitable for memory devices with half pitches smaller than 15nm. Hard particles on a wafer or mask create the possibility of creating a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, test stand results demonstrate the potential for extending mask life to better than 1000 wafers. Additionally, a new replication tool, the FPA-1100 NR2 is introduced. Mask chuck flatness simulation results were also performed and demonstrate that residual image placement errors can be reduced to as little as 1nm.


Proceedings of SPIE | 2017

Improved defectivity and particle control for nanoimprint lithography high-volume semiconductor manufacturing

Takahiro Nakayama; Masami Yonekawa; Yoichi Matsuoka; Hisanobu Azuma; Yukio Takabayashi; Ali Aghili; Makoto Mizuno; Jin Choi; Chris E. Jones

Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. Criteria specific to any lithographic process for the semiconductor industry include overlay, throughput and defectivity. The purpose of this paper is to describe the technology advancements made in the reduction of particle adders in an imprint tool. Hard particles on a wafer or mask create the possibility of creating a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, test stand results demonstrate the potential for extending mask life to better than 1000 wafers.


Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology | 2018

Improved particle control for high volume semiconductor manufacturing for nanoimprint lithography

Tsuyoshi Arai; Yoichi Matsuoka; Hisanobu Azuma

Nanoimprint Lithography (NIL) has been shown to be an effective technique for replication of nano-scale features. The NIL process involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for high volume semiconductor manufacturing. Included on the list are overlay, throughput and defectivity. Imprint lithography, like any lithographic approach requires that defect mechanisms be identified and eliminated in order to consistently yield a device. NIL has defect mechanisms unique to the technology, and they include liquid phase defects, solid phase defects and particle related defects. Especially more troublesome are hard particles on either the mask or wafer surface. Hard particles run the chance of creating a permanent defect in the mask, which cannot be corrected through a mask cleaning process. If Cost of Ownership (CoO) requirements are to be met, it is critical to minimize particle formation and extend mask life. In this work, methods including in-situ particle removal, mask neutralization and resist filtration are discussed in detail. As a result of these methods, along with already developed techniques, particle counts on a wafer were reduced to only 0.0005 pieces per wafer path or a single particle over 2000 wafers, with a next target of 0.0001 pieces per wafer path. Particle adder reduction correlates directly with mask life, and a mask life of 81 lots (about 2000 wafers) is demonstrated. New methods are now under development to further extend mask and reduce cost of ownership. In this work on-tool wafer inspection and mask cleaning methods are also introduced.


Photomask Technology 2016 | 2016

Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

Yoichi Matsuoka; Junichi Seki; Takahiro Nakayama; Kazuki Nakagawa; Hisanobu Azuma; Kiyohito Yamamoto; Chiaki Sato; Fumio Sakai; Yukio Takabayashi; Ali Aghili; Makoto Mizuno; Jin Choi; Chris E. Jones

Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.


Archive | 1997

Air cooling for flat panel displays

Yasue Sato; Shinichi Kawate; Hisanobu Azuma


Archive | 2005

Electrifying method and manufacturing method of electron-source substrate

Hisanobu Azuma


Archive | 2006

Electron source and image display apparatus

Hisanobu Azuma; Jun Iba; Yasuo Ohashi


Archive | 2005

Method for producing electron beam apparatus

Jun Iba; Hisanobu Azuma


Archive | 2008

ELECTRON-EMITTING DEVICE AND IMAGE DISPLAY APPARATUS USING THE SAME

Tamayo Hiroki; Hisanobu Azuma; Jun Iba


Archive | 2008

ELECTRON-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF

Hiroko Takada; Hisanobu Azuma; Jun Iba

Collaboration


Dive into the Hisanobu Azuma's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge