Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ilan Englard is active.

Publication


Featured researches published by Ilan Englard.


Proceedings of SPIE | 2008

Accurate in-resolution level overlay metrology for multipatterning lithography techniques

Ilan Englard; Richard Piech; Claudio Masia; Noam Hillel; Liraz Gershtein; Dana Sofer; Ram Peltinov; Ofer Adan

Multi patterning lithography (MPL) breaks the k1=0.25 barrier to become the main candidate for 32nm device fabrication before 2010. When using MPL, overlay (OVL) becomes an essential part of the overall critical dimension (CD) budget and therefore can no longer be treated as a separate process control measure. Furthermore, the CD measured at each of the two consecutive lithography steps must be combined into one single 32nm process control measure and will require further improvements of CD-SEM precision, resolution and accuracy. The metrology challenges involved in measuring double patterning CD and OVL arise from the fact that across chip pitch variations (ACPV) are determined by the two separate lithographic processes [1]. This aspect makes the control of the process significantly more complex and requires careful measurement of the processes, both individually as well as combined. Meeting the ITRS specifications for CD and localized OVL measurements beyond 32nm half pitch is challenging and will require innovative CDSEM algorithmic solutions. This paper is a follow-up from last years paper that introduced SEM metrology for MPL technology. In this paper, we report on the actual implementation of combined CD and OVL metrology solutions for the latest immersion scanner generation. We will describe the latest OVL measurements performed at ASML and demonstrate the robustness of the novel algorithm for accurate separation and recombination of two individual CD populations related to the consecutive MPL steps.


Photomask Technology 2009 | 2009

Aerial imaging for source mask optimization: mask and illumination qualification

Amir Sagiv; Jo Finders; Robert Kazinczi; Andre Engelen; Frank Duray; Ingrid Minnaert-Janssen; Shmoolik Mangan; Dror Kasimov; Ilan Englard

As the semiconductor industry moved to 4X technology nodes and below, low-k1 ArF lithography approached the theoretical limits of single patterning resolution, a regime typically plagued by marginally small process windows. In order to widen the process window bottleneck, projection lithography must fully and synergistically employ all available degrees of freedom. The holistic lithography source mask optimization (SMO) methodology aims to increase the overall litho performance and achieve a robust process window for the most challenging patterns by balancing between the mask and illumination source design influences. The typical complexity of both mask and illumination source that results from a generic SMO process exceeds the current norm in the lithographic industry. In particular, the SMO literature reports on masks that fully operate as diffractive optical elements, with features that have little resemblance to the final wafer-level pattern. Additionally, SMO illumination sources are characterized by parametric or pixelated shapes and a wide range of transmission values. As a consequence of the new mask and source designs, qualifying the mask for printing and non-printing defects and accurate assessment of critical dimensions becomes one of the main mask inspection challenges. The aerial imaging technologies of Applied Materials Aera2TM mask inspection tool provide enabling solutions by separating out only the defects that matter and accurately measures aerial imaging critical dimensions. This paper presents the latest numerical and experimental SMO mask qualifications research results performed at Applied Materials with a mask containing two-dimensional DRAM production structures.


Proceedings of SPIE | 2007

Metrology challenges for advanced lithography techniques

Ilan Englard; Peter Vanoppen; Jo Finders; Ingrid Minnaert-Janssen; Frank Duray; Jeroen Meessen; Gert-Jan Janssen; Ofer Adan; Liraz Gershtein; Ram Peltinov; Claudio Masia; Richard Piech

Traditionally CD SEM has been positioned as a local critical dimension measurement and analysis technique. Emerging lithography techniques introduce new challenges for CD SEM such as overlay error measurements. For the sub 45 nm technology nodes, several new lithography approaches are developed that rely on multiple lithography and deposition and etch process steps. Seamless integration of these lithography and deposition and etch process steps requires specific CD and/or overlay metrology capability for optimal CD and overlay registration performance. Areas of development are focused on CD measurement algorithms and correlation after resist develop and subsequent etch steps. These new lithography processes require unprecedented accuracy and overlay resolution. Fundamental and application specific metrology challenges and solutions will be highlighted. In addition, this paper will report on unique overlay target design in combination with innovative CD SEM measurement techniques to meet those challenges.


Proceedings of SPIE | 2010

Aerial imaging qualification and metrology for source mask optimization

Amir Sagiv; Jo Finders; Robert Kazinczi; Andre Engelen; Frank Duray; Ingrid Minnaert-Janssen; Shmoolik Mangan; Dror Kasimov; Ilan Englard

As the semiconductor industry moves to 3X technology nodes and below, holistic lithography source mask optimization (SMO) methodology targets an increase in the overall litho performance with improved process windows. The typical complexity of both mask and illumination source exceeds what the lithographic industry has been accustomed to, and presents a novel challenge to mask qualification and metrology. In this paper we demonstrate the latest in aerial imaging technologies of Applied Materials Aera2TM mask inspection tool. The aerial imaging capability opens the door to a wide variety of metrological measurements analysis at aerial level and provides enabling solutions for mask and scanner qualifications. In particular, we demonstrate core and periphery DRAM pattern process window assessment and MEEF measurements, performed on an advanced test mask.


SPIE Photomask Technology | 2010

EUV mask defectivity study by existing DUV tools and new E-beam technology

Shmoolik Mangan; Rik Jonckheere; Dieter Van den Heuvel; Moshe Rozentsvige; Vladislav Kudriashov; Ran Brikman; Lior Shoval; Gaetano Santoro; Ilan Englard

While EUV lithography is approaching the pre-production stage, improving mask defectivity is recognized as a top challenge. The accepted strategy for EUV reticle qualification is to use a combination of a dedicated blank inspection (BI) to visualize EUV-specific multi-layer (ML) defects and patterned-mask inspection (PMI) that must be capable to meet the resolution requirements of the pattern. Actinic inspection is considered the strongest option for the blank inspection because of the limitation of optical light to visualize the nm-high distortions within the ML. Earlier publications showed that wafer inspection (WI) can potentially reveal such mask defects, This is, however, too late within the process. In addition, existing PMI and wafer inspection approaches exhibit limitations in detection capability and gaps are observed between detection of printed defects and defects detected on the mask (and the blank). We compare existing inspection solutions for detection of EUV mask defects (193nm based mask inspection and repeater analysis in a DUV wafer inspection) and present a feasibility study for use of a fast e-beam technology for mask inspection. Finally, we discuss the prospects of existing DUV tools and future e-beam technology to support EUV reticle inspection for current and future nodes.


Proceedings of SPIE | 2008

Novel approach for immersion lithography defectivity control to increase productivity

Ilan Englard; Raf Stegen; Peter Vanoppen; Ingrid Minnaert-Janssen; Ted der Kinderen; Erik van Brederode; Frank Duray; Jeroen Linders; Denis Ovchinnikov; Rich Piech; Claudio Masia; Noam Hillel; Erez Ravid; Ofer Rotlevi; Amir Wilde; Saar Shabtay; Zach Telor; R. Schreutelkamp

Increase of Depth of Focus (DOF) and higher Numerical Aperture (NA), make of immersion lithography a sub-50nm technology node enabler. At the same time it introduces a range of new defect types, also known as immersion defects. According to the ITRS roadmap, the Smallest Defect Of Interest (SDOI) for the 45nm node has a size of 30nm [1] which is the minimal defect size which poses risk to the integrity of the post litho chain processes. A novel approach of Immersion Defectivity Baseline creation and monitoring has been developed for the 45nm technology node by ASML, supported by Applied Materials. An Immersion Defectivity Baseline consists of: a qualified stack, a dedicated defectivity reticle, a Defect Inspection Tool with an optimized inspection recipe, a Defect Review SEM with an optimized defect review recipe and a defect qualification scheme. The new approach to Immersion Defectivity Baseline creation is based on the combined capabilities of highest resolution bright-field inspection and SEM (Scanning Electron Microscopy) review that are available today, with a unique qualification methodology using printed programmed defects that cover the full printable size range. The inspection tools SDOI detection sensitivity has been optimized for engineering, production as well as monitoring modes, with negligible nuisance rate and basic classification capability followed by highly accurate SEM review and classification. As a result, it enables a stringently controlled, highly efficient, automated defect classification for baseline monitoring and increased productivity. The SEM material analysis sub-apparatus complete the control loop for baseline creation and excursion control. This paper presents a protocol for Immersion Defectivity Baseline creation and control methodologies used for the latest ASML immersion scanner.


28th European Mask and Lithography Conference (EMLC 2012) | 2012

Impact of reticle absorber on the imaging properties in ArFi lithography

Jo Finders; Orion Mouraille; Anita Bouma; A. Ngai; Kees Grim; J. van Praagh; C. Toma; Junji Miyazaki; Masaru Higuchi; Yosuke Kojima; Brid Connolly; Ilan Englard; Yaron Cohen; Shmoolik Mangan; Michael Ben Yishai; Karine Jullian

In this paper we compare the imaging properties of lithographic test structures formed on test masks with different reticle absorbers for use in1.35 NA immersion lithography. We will look into different aspects like process windows and CD fingerprints. Beyond that we look into the topographic effects caused by the different absorbers, the mask 3D effects. We will study the interaction between the different masks and immersion scanner. Special attention is given towards the correctability of the intrafield CD fingerprint by mask and scanner applying dose corrections.


28th European Mask and Lithography Conference (EMLC 2012) | 2012

PSM and thin OMOG reticles aerial imaging metrology comparison study

Yaron Cohen; Jo Finders; Shmoolik Mangan; Ilan Englard; Orion Mouraille; Maurice Janssen; Junji Miyazaki; Brid Connolly; Yosuke Kojima; Masaru Higuchi

For sub 20nm features, IC (integrated circuits) designs include an increasing number of features approaching the resolution limits of the scanner compared to the previous generation of IC designs. This trend includes stringent design rules and complex, ever smaller optical proximity correction (OPC) structures. In this regime, a new type of mask, known as opaque MoSi on glass (OMOG), has been introduced to overcome the shortcomings of the well-established phase shift masks (PSM). This paper reviews the fundamental aerial imaging differences between identically designed PSM and thin OMOG masks. The masks were designed for scanner qualification tests and therefore contain large selections of 1D and 2D features, including various biases and OPCs. Aerial critical dimension uniformity (CDU) performance for various features on both masks are reported. Furthermore, special efforts have been made to emphasize the advantages of aerial imaging metrology versus wafer metrology in terms of shortening scanner qualification cycle time.


Proceedings of SPIE | 2009

Aerial imaging for FABs: productivity and yield aspects

Ilan Englard; Yaron Cohen; Yair Elblinger; Shay Attal; Neil Berns; Lior Shoval; Michael Ben-Yishai; Shmoolik Mangan

The economy of wafer fabs is changing faster for 3x geometry requirements and below. Mask set and exposure tool costs are almost certain to increase the overall cost per die requiring manufacturers to develop productivity and yield improvements to defray the lithography cell economic burden. Lithography cell cost effectiveness can be significantly improved by increasing mask availability while reducing the amount of mask sets needed during a product life cycle. Further efficiency can be gained from reducing send-ahead wafers and qualification cycle time, and elimination of inefficient metrology. Yield is the overriding die cost modulator and is significantly more sensitive to lithography as a result of masking steps required to fabricate the integrated circuit. Thus, for productivity to increase with minimal yield risk, the sample space of reticle induced source of variations should be large, with shortest measurement acquisition time possible. This paper presents the latest introduction of mask aerial imaging technology for the fab, Aera2TM for Lithography with IntenCTM, as an enabler for efficient lithography manufacturing. IntenCD is a high throughput, high density mask-based critical dimension (CD) mapping technology, with the potential for increasing productivity and yield in a wafer production environment. Connecting IntenCD to a feed forward advance process control (APC) reduces significantly the amount of traditional CD metrology required for robust wafer CD uniformity (CDU) correction and increases wafer CD uniformity. This in turn improves the lithography process window and yield and contributes to cost reduction and cycle time reduction of new reticles qualification. Advanced mask technology has introduced a new challenge. Exposure to 193nm wavelength stimulates haze growth on the mask and imposes a regular cleaning schedule. Cleaning eventually causes mask degradation. Haze growth impacts mask CD uniformity and induce global transmission fingerprint variations. Furthermore, aggressive cleaning may damage the delicate sub-resolution assist features. IntenCD based CDU fingerprint correction can optimize the regular mask cleaning schedule, extending clean intervals therefore extending the overall mask life span. This mask availability enhancement alone reduces the amount of mask sets required during the product life cycle and potentially leads to significant savings to the fab. This mask availability enhancement alone reduces the amount of mask sets required during the product life cycle and leads to significant savings to the fab. In this paper we present three case studies from a wafer production fab and a mask shop. The data presented demonstrates clear productivity and yield enhancements. The data presented is the outcome of a range of new applications which became possible by integrating the recently introduced Applied Materials Aera2TM for Lithography aerial imaging inspection tool with the litho cluster.


Proceedings of SPIE | 2007

MacroCD contact ellipticity measurement for lithography tool qualification

Ilan Englard; Eelco van Setten; Gert-Jan Janssen; Peter Vanoppen; Ingrid Minnaert-Janssen; Frank Duray; Ofer Adan; Amit Moran; Liraz Gershtein; Ram Peltinov

Contact hole integrity is an important metric for IC manufacturers, which is reflected in tight ellipticity control as part of the lithography tool qualifications. The current ellipticity measurement methodology is very sensitive to random process variations of the contact hole shape. Determining ellipticity in a systematic manner poses a challenge on qualification productivity, as acquiring more data for statistical validity leads to unacceptably long measurement times. The introduction of the so-called MacroCD Vector measurement enables a single shot large sampling of contact holes, including vector calculation and averaging of all individual contact ellipticity results within the MacroCD measurement array. Based on these enhanced measurement features, it is shown that contact hole ellipticity can be determined with much higher accuracy while local, mostly process induced variations can be characterized simultaneously. This opens possibilities to study correlation between ellipticity and possible root causes in the litho process module.

Collaboration


Dive into the Ilan Englard's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge