Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ingo Bork is active.

Publication


Featured researches published by Ingo Bork.


SPIE Photomask Technology | 2011

Optimization of mask shot count using MB-MDP and lithography simulation

Gek Soon Chua; Wei Long Wang; Byoung Il Choi; Yi Zou; Cyrus E. Tabery; Ingo Bork; Tam Nguyen; Aki Fujimura

In order to maintain manageable process windows, mask shapes at the 20nm technology node and below become so complex that mask write times reach 40 hours or might not be writeable at all since the extrapolated write time reaches 80 hours. The recently introduced Model Based Mask Data Preparation (MB-MDP) technique is able to reduce shot count and therefore mask write time by using overlapping shots. Depending on the amount of shot count reduction the contour of the mask shapes is changed leading to the question how the mask contour influences wafer performance. This paper investigates the tradeoff between mask shot count reduction using MB-MDP and wafer performance using lithography simulation. A typical Source-Mask-Optimization (SMO) result for a 20nm technology will be used as an example.


33rd European Mask and Lithography Conference | 2017

The role of model-based MPC in advanced mask manufacturing

Ingo Bork; Peter Buck

This paper describes the use of model-based MPC in mask manufacturing for the 14 nm technology node and beyond, analyzes the requirements and challenges for introducing MPC and highlights its benefits in the mask manufacturing process.


Journal of Micro-nanolithography Mems and Moems | 2016

Accurate mask model implementation in optical proximity correction model for 14-nm nodes and beyond

Nacer Zine El Abidine; Frank Sundermann; Emek Yesilada; Vincent Farys; Frederic Huguennet; Ana-Maria Armeanu; Ingo Bork; Michael Chomat; Peter Buck; Isabelle Schanen

Abstract. In a previous work, we demonstrated that the current optical proximity correction model assuming the mask pattern to be analogous to the designed data is no longer valid. An extreme case of line-end shortening shows a gap up to 10 nm difference (at mask level). For that reason, an accurate mask model has been calibrated for a 14-nm logic gate level. A model with a total RMS of 1.38 nm at mask level was obtained. Two-dimensional structures, such as line-end shortening and corner rounding, were well predicted using scanning electron microscopy pictures overlaid with simulated contours. The first part of this paper is dedicated to the implementation of our improved model in current flow. The improved model consists of a mask model capturing mask process and writing effects, and a standard optical and resist model addressing the litho exposure and development effects at wafer level. The second part will focus on results from the comparison of the two models, the new and the regular.


Photomask Technology 2018 | 2018

Mask process correction validation for multi-beam mask lithography (Conference Presentation)

Ingo Bork; Peter Buck; Bhardwaj Durvasula; Stefan Eder-Kapl; Peter Hudek; Elmar Platzgummer; Rao Nageswara; Murali Reddy; Christoph Spengler

Mask Process Correction (MPC) is well established as a necessary step in mask data preparation (MDP) for electron beam mask manufacturing at advanced technology nodes from 14nm and beyond. MPC typically uses an electron scatter model to represent e-beam exposure and a process model to represent develop and etch process effects [1]. The models are used to iteratively simulate the position of layout feature edges and move edge segments to maximize the edge position accuracy of the completed mask. Selective dose assignment can be used in conjunction with edge movement to simultaneously maximize process window and edge position accuracy [2]. MPC methodology for model calibration and layout correction has been developed and optimized for the vector shaped beam (VSB) mask writers that represent the dominant mask lithography technology in use today for advanced mask manufacturing [3]. Multi-beam mask writers (MBMW) have recently been introduced and are now beginning to be used in volume photomask production [4]. These new tools are based on massively parallel raster scan architectures that significantly reduce the dependence of write time on layout complexity and are expected to augment and eventually replace VSB technology for advanced node masks as layout complexity continues to grow [5][6]. While it is expected that existing MPC methods developed for VSB lithography can be easily adapted to MBMW, a rigorous examination of mask error correction for MBMW is necessary to fully confirm applicability of current tools and methods, and to identify any modifications that may be required to achieve the desired CD performance of MBMW. In this paper we will present the results of such a study and confirm the readiness of MPC for multi-beam mask lithography.


Photomask Technology 2018 | 2018

Advanced jog handling techniques in MPC for better QoR

Steffen Schulze; Peter Buck; Bhardwaj Durvasula; Ingo Bork; Archana Rajagopalan; Nageswara Rao; Murali Reddy

Designs which are output by OPC (Optical Proximity Correction) tools contain a large number of jog edges. Jogs are small edges introduced by OPC tools to create segments in an input design edge to provide freedom to the individual segments to move independently. Such segmentation is important to achieve correct, uniform results across the critical dimensions of a feature. Traditionally, Mask Process Correction (MPC) tools which work on OPC output, choose to not move these jog edges (a.k.a. jog freeze). The main reason for doing so is that the jog edges are so small that moving them does not significantly improve the mask quality. However, for newer design nodes, increasing OPC complexity results in primary segments similar in size to jog edge size. Hence, freezing the jogs may not be a viable option as it may mean that a significant portion of design edges are frozen. In this paper, we propose methods for movement of the jog edges and the impact it has on the overall mask quality. Shot count of the mask data post-fracture is an important Quality of Results (QoR) metric for Vector Shaped Beam (VSB) mask writer tools. One of the main advantages that comes from the flexibility of moving jog edges is to improve the mask data shot count. This paper will discuss the shot count improvement method within the MPC tool and show the impact it has on the other quality metrics.


Photomask Technology | 2017

Mask process correction method comparison and study: CD-SEM box versus standard correction method

Mingjing Tian; Shizhi Lyu; Eric Guo; Ingo Bork; Peter Buck; Yifan Li; Delin Mo; Cong Lu; Kushlendra Mishra; Anil Parchuri

With continuous shrinking technology nodes, the error tolerances for mask CD (critical dimension) becomes tighter and tighter since mask errors are passed on downstream and might even be amplified at wafer level. Therefore, high accuracy MPC (Mask Process Correction) models are imperative. Besides the mask model, the MPC algorithm for the input layout also has a critical influence on mask quality. This paper studies and compares two methods of MPC correction: a new method, introducing a correction algorithm based on the CD-SEM box is compared to the standard method that measures EPE (edge placement error) only at the center of an edge. Under which condition the EPE measurement method for MPC correction by the CD-SEM box method should be applied is discussed and its influence on the correction accuracy of small CD patterns is demonstrated.


Photomask Technology 2015 | 2015

A fully model-based MPC solution including VSB shot dose assignment and shape correction

Ingo Bork; Peter Buck; Murali Reddy; Bhardwaj Durvasula

The value of using multiple dose levels for individual shots on VSB (Variable Shaped Beam) mask writers has been demonstrated earlier [1][2]. The main advantage of modulating dose on a per shot basis is the fact that higher dose levels can be used selectively for critical features while other areas of the mask with non-critical feature types can be exposed at lower dose levels. This reduces the amount of backscattering and mask write time penalty compared to a global overdose-undersize approach. While dose assignment to certain polygons or parts of polygons (VSB shots) can easily be accomplished via DRC rules on layers with limited shape variations like contact or VIA layers, it can be challenging to come up with consistent rules for layers consisting of a very broad range of shapes, generally found on metal layers. This work introduces a method for fully model-based modulation of shot dose for VSB machines supporting between two and eight dose levels and demonstrates results achieved with this method.


Photomask Technology 2015 | 2015

Accurate mask model implementation in OPC model for 14nm nodes and beyond

Nacer Zine El Abidine; Frank Sundermann; Emek Yesilada; Vincent Farys; Frederic Huguennet; Ana-Maria Armeanu; Ingo Bork; Michael Chomat; Peter Buck; Isabelle Schanen

In a previous work [1] we demonstrated that current OPC model assuming the mask pattern to be analogous to the designed data is no longer valid. Indeed as depicted in figure 1, an extreme case of line-end shortening shows a gap up to 10 nm difference (at mask level). For that reason an accurate mask model, for a 14nm logic gate level has been calibrated. A model with a total RMS of 1.38nm at mask level was obtained. 2D structures such as line-end shortening and corner rounding were well predicted using SEM pictures overlaid with simulated contours. The first part of this paper is dedicated to the implementation of our improved model in current flow. The improved model consists of a mask model capturing mask process and writing effects and a standard optical and resist model addressing the litho exposure and development effects at wafer level. The second part will focus on results from the comparison of the two models, the new and the regular, as depicted in figure 2.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Accurate mask model for advanced nodes

Nacer Zine El Abidine; Frank Sundermann; Emek Yesilada; El Hadji Omar Ndiaye; Kushlendra Mishra; Sankaranarayanan Paninjath; Ingo Bork; Peter Buck; Olivier Toublan; Isabelle Schanen

Standard OPC models consist of a physical optical model and an empirical resist model. The resist model compensates the optical model imprecision on top of modeling resist development. The optical model imprecision may result from mask topography effects and real mask information including mask ebeam writing and mask process contributions. For advanced technology nodes, significant progress has been made to model mask topography to improve optical model accuracy. However, mask information is difficult to decorrelate from standard OPC model. Our goal is to establish an accurate mask model through a dedicated calibration exercise. In this paper, we present a flow to calibrate an accurate mask enabling its implementation. The study covers the different effects that should be embedded in the mask model as well as the experiment required to model them.


Photomask Technology 2014 | 2014

Using rule-based shot dose assignment in model-based MPC applications

Ingo Bork; Peter Buck; Lin Wang; Uwe Müller

Shrinking feature sizes and the need for tighter CD (Critical Dimension) control require the introduction of new technologies in mask making processes. One of those methods is the dose assignment of individual shots on VSB (Variable Shaped Beam) mask writers to compensate CD non-linearity effects and improve dose edge slope. Using increased dose levels only for most critical features, generally only for the smallest CDs on a mask, the change in mask write time is minimal while the increase in image quality can be significant. This paper describes a method combining rule-based shot dose assignment with model-based shot size correction. This combination proves to be very efficient in correcting mask linearity errors while also improving dose edge slope of small features. Shot dose assignment is based on tables assigning certain dose levels to a range of feature sizes. The dose to feature size assignment is derived from mask measurements in such a way that shape corrections are kept to a minimum. For example, if a 50nm drawn line on mask results in a 45nm chrome line using nominal dose, a dose level is chosen which is closest to getting the line back on target. Since CD non-linearity is different for lines, line-ends and contacts, different tables are generated for the different shape categories. The actual dose assignment is done via DRC rules in a pre-processing step before executing the shape correction in the MPC engine. Dose assignment to line ends can be restricted to critical line/space dimensions since it might not be required for all line ends. In addition, adding dose assignment to a wide range of line ends might increase shot count which is undesirable. The dose assignment algorithm is very flexible and can be adjusted based on the type of layer and the best balance between accuracy and shot count. These methods can be optimized for the number of dose levels available for specific mask writers. The MPC engine now needs to be able to handle different dose levels and requires a model which accurately predicts mask shapes at all dose levels used. The calibration of such a model is described in a separate paper [1]. In summary this paper presents an efficient method for combining rule-based VSB shot dose assignment with modelbased shape corrections in MPC. This method expands the printability of small features sizes without the need for increasing the base dose of the e-beam writer which reduces backscattering and increases the lifetime of the electron gun of the writer.

Collaboration


Dive into the Ingo Bork's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge