Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jacque Georger is active.

Publication


Featured researches published by Jacque Georger.


Journal of Vacuum Science & Technology B | 1994

Effect of acid diffusion on performance in positive deep ultraviolet resists

Theodore H. Fedynyshyn; James W. Thackeray; Jacque Georger; Mark Denison

Two methods to measure acid diffusion in positive acid catalyzed resists are described. The first method employs a spectrophotometric titration to determine the acid concentration ([H+]) followed by measuring the ion conductivity (σ) of the resist film to determine the diffusion coefficient (D). This method allows the diffusion coefficient of acid in the resist to be determined at different temperatures ranging from room temperature to different post‐exposure bake (PEB) temperatures. The second method is based on the threshold acid density theory of image formation, which assumes that when a critical concentration of acid is reached, the developer solubility of the resist is changed. With this method, a constant level of acid can be followed at different PEB times and the diffusion coefficient determined. A comparison of the two methods to measure the acid diffusion coefficient will be made and the temperature dependence of diffusion for different types of organic acids will be presented. Based on a previ...


Proceedings of SPIE | 2009

RLS tradeoff vs. quantum yield of high PAG EUV resists

Craig Higgins; Alin Antohe; Greg Denbeaux; Seth Kruger; Jacque Georger; Robert Brainard

The effect of higher film quantum yields (FQYs) on the resolution, line-edge roughness, and sensitivity (RLS) tradeoff was evaluated for extreme ultraviolet (EUV, 13.5 nm) photoresists. We determined the FQY of increasingly high levels of an iodonium photoacid generator (PAG) using two acid detection methods. First, base titration methods were used to determine C-parameters for acid generation, and second, an acid-sensitive dye (Coumarin-6) was used to determine the amount of acid generated and ultimately, to determine absorbance and FQYs for both acid detection methods. The RLS performance of photoresists containing increasing levels of PAG up to ultrahigh loadings (5-40 wt% PAG) was evaluated. RLS was characterized using two methods: • KLUP resist performance •Z-Parameter (Z = LER2*Esize*Resolution3)


Japanese Journal of Applied Physics | 2011

Resolution, Line-Edge Roughness, Sensitivity Tradeoff, and Quantum Yield of High Photo Acid Generator Resists for Extreme Ultraviolet Lithography

Craig Higgins; Charles R. Szmanda; Alin Antohe; Greg Denbeaux; Jacque Georger; Robert L. Brainard

Ultrahigh loadings of photoacid generators (PAGs) in phenolic extreme ultraviolet (EUV) resists have generated the highest known film quantum yields (FQYs). We evaluate the performance of these resists in terms of resolution, line-edge roughness (LER), and sensitivity and collectively evaluate these three parameters (known as RLS) in terms of KLUP and Z-Parameter figures of merit. An analytical model describing the kinetics of photodecomposition was developed to explain the relationship between film quantum yield and PAG concentration. Resists were prepared using a broad range of concentrations of iodonium (DTBPI-PFBS), sulfonium (TPS-PFBS), and non-ionic (NDI-PFBS) PAGs. The model fits the experimental data (correlation coefficient R2 = 0.998, 0.994, and 0.995) and compares the rate at which electrons react with PAGs or recombine with holes. Resists prepared with 15–20 wt % of iodonium nonaflate PAG exhibit both high quantum yields and the best RLS performance as determined using both KLUP and Z-Parameter methodologies. The improvement in RLS performance correlates with the increase in FQY at higher PAG concentrations.


Proceedings of SPIE | 2011

Line width roughness control and pattern collapse solutions for EUV patterning

Karen Petrillo; George Huang; Dominic Ashworth; Jacque Georger; Liping Ren; Kyoungyoung Cho; Warren Montgomery; Stefan Wurm; Shinichiro Kawakami; Shannon W. Dunn; Akiteryu Ko

Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and resolution in the resist patterning process has improved. Another critical feature that has become difficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in EUVL and leading-edge ArF immersion lithography. This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes, etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development activities and industry requirements for both LWR and pattern collapse.


Advances in resist technology and processing. Conference | 1997

New ESCAP-type resist with enhanced etch resistance and its application to future DRAM and logic devices

Will Conley; William R. Brunsvold; Fred Buehrer; Ronald A. DellaGuardia; David M. Dobuzinsky; Timothy R. Farrell; Hok Ho; Ahmad D. Katnani; Robin Keller; James T. Marsh; Paul K. Muller; Ronald W. Nunes; Hung Y. Ng; James M. Oberschmidt; Michael Pike; Deborah Ryan; Tina J. Cotler-Wagner; Ron Schulz; Hiroshi Ito; Donald C. Hofer; Gregory Breyta; Debra Fenzel-Alexander; Gregory M. Wallraff; Juliann Opitz; James W. Thackeray; George G. Barclay; James F. Cameron; Tracy K. Lindsay; Michael F. Cronin; Matthew L. Moynihan

This new photoresist system extends the capability of the ESCAP platform previously discussed. (1) This resist material features a modified ESCAP type 4-hydroxystyrene-t-butyl acrylate polymer system which is capable of annealing due to the increased stability of the t-butyl ester blocking group. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus previous DUV resists, APEX and UV2HS. Improved stabilization of chemically amplified photoresist images can be achieved through reduction of film volume by film densification. When the host polymer provides good thermal stability the soft bake conditions can be above or near the Tg (glass transition) temperature of the polymer. The concept of annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions and overall formulation coupled with high NA (numerical aperture) exposure systems, affords linear lithography down to 0.15 micrometer for isolated lines with excellent post exposure delay stability. In this paper, we discuss the UV4 and UV5 photoresist systems based on the ESCAP materials platform. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus APEX-E and UV2HS. Due to lower acrylate content, the Rmax for this system can be tuned for feature-type optimization. We demonstrate sub-0.25 micrometer process window for isolated lines using these resists on a conventional exposure tool with chrome on glass masks. We also discuss current use for various device levels including gate structures for advanced microprocessor designs. Additional data will be provided on advanced DRAM applications for 0.25 micrometer and sub-0.25 micrometer programs.


Proceedings of SPIE | 2009

Assessment of EUV resist readiness for 32-nm hp manufacturing and extendibility study of EUV ADT using state-of-the-art resist

Chawon Koh; Liping Ren; Jacque Georger; Frank Goodwin; Stefan Wurm; Bill Pierson; Joo-On Park; Tom Wallow; Todd R. Younkin; Patrick P. Naulleau

Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-32 nm features. We have assessed EUVL resist readiness for 32 nm half-pitch (HP) manufacturing, presenting process feasibility data such as resolution, depth of focus (DOF), line edge roughness/line width roughness (LER/LWR), mask error enhancement factor (MEEF), resist collapse, critical dimension (CD) uniformity, post-exposure delay (PED) stability, and post-exposure bake (PEB) sensitivity. Using the alpha demo tool (ADT), a full field ASML EUV scanner, we demonstrate the feasibility of a k1 ~0.593 resist process for 32 nm HP line/space (L/S) patterning. Exposure latitude (EL) was 13% at best focus, and DOF was 160 nm at best dose using a 60 nm thick resist. By incorporating a spin-on underlayer, the process margin could be improved to 18.5% EL and 200 nm DOF. We also demonstrate ADT extendibility using a state-of-the-art EUV platform. A k1 ~0.556 resist process was demonstrated for 30 nm HP L/S patterns, providing a 13% EL, 160 nm DOF, and a common process window with isolated lines. 28 nm HP patterning for a k1 ~0.528 resist process could be feasible using a more advanced resist with improved DOF and resist collapse margin.


Journal of Vacuum Science & Technology B | 1993

Dissolution rate properties of three‐component deep‐ultraviolet positive photoresists

James W. Thackeray; Mark Denison; Theodore H. Fedynyshyn; Jacque Georger; J. Michael Mori; George W. Orsula

This article describes the optical and dissolution properties of Shipley three‐component positive deep‐ultraviolet (DUV) resists. These properties are optimized to provide higher resolution resists. These resists have higher B values than diazonaphthoquinone‐novolak (DQN) resists, but because of chemical amplification, their C values are 200 times smaller. Due to the higher B values of these resists, resolution can only be improved through increased dissolution selectivity. Through increased blocking, the dissolution selectivity can be as high 16 000, a value that is 10 times that of DQN resists. However, these high selectivities have practical limitations, due to increased tendency to cap, and slower photospeed. A simple equation is derived for the dissolution rate as a function of extent conversion. The catalytic chain length can be extracted from these simulations, and, under the processing conditions described in this study, is shown to be in the range of 56–78 for all the resists studied. Using exper...


26th Annual International Symposium on Microlithography | 2001

Development of DUV resists for zero angle and angled implant applications

Patricia Fallon; Michael F. Cronin; Joseph Lachowski; Pasquale R. Valerio; Larry Bachetti; Jacque Georger; Mike Mori; David N. Tomes; Kim Wynja

As the semiconductor industry continues to follow Moores Law by continually shrinking linewidths, DUV lithographic capacity is increasing. This greater capacity has increased the use of 248nm DUV lithography for all levels particularly applications such as metal and implant layers. Smaller features have required that more advanced implantation techniques be employed. These include greater control of implant depth, gradient, and lateral ion movement. These tighter requirements on the implant process naturally necessitate advanced requirements on the photoresists used in these processes. This paper will discuss the design criteria necessary to develop an advanced DUV resist for a variety of implant layer sand will show resist performance for these applications.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Improved resolution with advanced negative DUV photoresist with 0.26N capability

Gregory P. Prokopowicz; Jacque Georger; Eyad Ayyash; James W. Thackeray; William R. Brunsvold; Laura L. Kosbar; Ali Afzali-Kushaa; Jeffrey D. Gelorme

While positive photo resists make up the majority of the DUV market, negative resists have gained acceptance in IC manufacturing. Typically, negative photo resists can be used for a wide variety of feature types with minimal print bias including posts, lines/spaces and isolated lines. In some instances, negative resist are being investigated to print trenches and contact holes. Although negative resists are promising, there has been one issue. Dense line resolution has been limited by the onset of microbridging. Currently, minimum resolution for equal lines and spaces is about 200 nm with 0.26N developer, using conventional illumination. Recent developments in negative DUV resist technology have eliminated microbridging in 0.26N developer and has resulted in a significant increase in resolution. In addition to resolution and overall lithographic performance for sub 200 nm features, the PEB sensitivity, PED stability and other key resist performance capabilities will be demonstrated.


23rd Annual International Symposium on Microlithography | 1998

Development of DUV resist formulations with excellent performance on metal substrates

Martha M. Rajaratnam; James F. Cameron; Jacque Georger; Doris Kang; Gregory P. Prokopowicz; Roger F. Sinta; James W. Thackeray

This paper reveals a methodology for substantially eliminating footing on native TiN substrates. The first generation DUV resists, such as APEX-E, reported a foot size of > 100 nm. The large foot size severely limited the capability of these resists. This paper introduces a superior resist for TiN substrate footing, TitaNTM photoresist, with a foot size of only 13 nm at 250 nm feature sizes. This resist also has high resolution and fast photospeed, and good process windows for isolated and dense structures. The key design concept was to reduce the surface inhibition at the resist/TiN interface. The key chemistry to accomplish this reduction in surface inhibition is to generate stronger acids at the resist/TiN interface. We also considered high and low acrylate polymers. There was little difference in footing for the high and low acrylate polymers, however, the low acrylate polymer shows superior etch resistance necessary for metal levels.

Collaboration


Dive into the Jacque Georger's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roger F. Sinta

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Theodore H. Fedynyshyn

Massachusetts Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Craig Higgins

State University of New York System

View shared research outputs
Researchain Logo
Decentralizing Knowledge