Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dominic Ashworth is active.

Publication


Featured researches published by Dominic Ashworth.


Proceedings of SPIE | 2013

Projection optics for extreme ultraviolet lithography (EUVL) micro-field exposure tools (METs) with a numerical aperture of 0.5

Holger Glatzel; Dominic Ashworth; Mark Bremer; Rodney Chin; Kevin Cummings; Luc Girard; Michael Goldstein; Eric M. Gullikson; Russ Hudyma; Jim Kennon; Bob Kestner; Lou Marchetti; Patrick P. Naulleau; Regina Soufli

In support of the Extreme Ultraviolet Lithography (EUVL) roadmap, a SEMATECH/CNSE joint program is under way to develop 13.5 mn R and D photolithography tools with small fields (micro-field exposure tools [METs]) and numerical apertures (NAs) of 0.5. The transmitted wavefront error of the two-mirror optical projection module (projection optics box [FOB]) is specified to less than 1 mn root mean square (RMS) over its 30 μm x 200 μm image field. Not accounting for scatter and flare losses, its Strehl ratio computes to 82%. Previously reported lithography modeling on this system [1] predicted a resolution of 11 mn with a k-factor of 0.41 and a resolution of 8 mn with extreme dipole illumination. The FOBs magnification (5X), track length, and mechanical interfaces match the currently installed 0.3 NA FOBs [2] [3] [6], so that significant changes to the current tool platforms and other adjacent modules will not be necessary. The distance between the reticle stage and the secondary mirror had to be significantly increased to make space available for the upgraded 0.5 NA illumination modules [1].


Proceedings of SPIE | 2011

Line width roughness control and pattern collapse solutions for EUV patterning

Karen Petrillo; George Huang; Dominic Ashworth; Jacque Georger; Liping Ren; Kyoungyoung Cho; Warren Montgomery; Stefan Wurm; Shinichiro Kawakami; Shannon W. Dunn; Akiteryu Ko

Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and resolution in the resist patterning process has improved. Another critical feature that has become difficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in EUVL and leading-edge ArF immersion lithography. This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes, etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development activities and industry requirements for both LWR and pattern collapse.


Proceedings of SPIE | 2013

Resist outgassing contamination growth results using both photon and electron exposures

Gregory Denbeaux; Yudhishthir Kandel; Genevieve Kane; Diego Alvardo; Mihir Upadhyaya; Yashdeep Khopkar; Alexander Friz; Karen Petrillo; Jaewoong Sohn; Chandra Sarma; Dominic Ashworth

During exposure in an EUV scanner, photoresist and other materials coated on a wafer are known to outgas various species. As a requirement to pattern materials in an ASML NXE scanner, these materials need to be screened for outgassing and possible optics contamination. As part of the testing process, a resist-coated wafer is exposed in a vacuum chamber mimicking the conditions inside an EUV scanner. The resist exposure source can be either EUV photons or electron beam (e-beam). This presentation will cover the results to date on a SEMATECH program to study resist outgassing from both the commercial system from EUV Tech and a custom Resist Outgassing and Exposure (ROX) tool. The EUV Tech results reported will be based on electron exposures of the photoresist, and the ROX results reported will be based on EUV photon exposures of the photoresist. The results reported will cover both tools and the measurements of over 80 commercial photoresists.


Proceedings of SPIE | 2012

Line width roughness control for EUV patterning

Karen Petrillo; George Huang; Dominic Ashworth; Liping Ren; Kyoungyoung Cho; Stefan Wurm; Shinichiro Kawakami; Lior Huli; Shannon Dunn; Akiteru Ko

Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, simultaneously achieving optimal properties through chemical tuning alone is difficult. The track process is one of the factors that impacts LWR. Enhancing track processes in EUV lithography is thus critical to controlling LWR. This paper describes an approach to mitigating LWR based on optimizing track-based and etch-based processes. It also presents the results of our newly developed track-based smoothing process as well as the results of combining several track-based techniques. The latest LWR performance from using track-based techniques, optimized track processes, and etch-based techniques will be highlighted.


Proceedings of SPIE | 2013

Resist process applications to improve EUV patterning

Karen Petrillo; Kyoungyoung Cho; Alexander Friz; Cecilia Montgomery; Dominic Ashworth; Mark Neisser; Stefan Wurm; Takashi Saito; Lior Huli; Akiteru Ko; Andrew Metz

Roughness control is a key technical issue in extreme ultraviolet (EUV) lithography. It applies to both line and space (L/S) and contact hole (C/H) structures. Recently, SEMATECH and Tokyo Electron Limited (TEL) developed several track-based techniques, including developer optimization, FIRM™ (Finishing up by Improved Rinse Material), and smoothing to reduce structural roughness. The combination of these techniques improved line width roughness (LWR) about 25% from the 2011 baseline of 32 nm L/S. C/H structures were also tested with the combination process. This paper describes our latest L/S and C/H roughness performance post-lithography and postetch. A feasibility study of negative tone develop (NTD) resists for EUV is also included.


Proceedings of SPIE | 2014

SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement

Jun Sung Chun; Shih-Hui Jen; Karen Petrillo; Cecilia Montgomery; Dominic Ashworth; Mark Neisser; Takashi Saito; Lior Huli; David Hetzer

With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRMTM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRMTM materials.


Proceedings of SPIE | 2014

Update on the SEMATECH 0.5 NA Extreme-Ultraviolet Lithography (EUVL) Microfield Exposure Tool (MET)

Kevin Cummings; Dominic Ashworth; Mark Bremer; Rodney Chin; Yu-Jen Fan; Luc Girard; Holger Glatzel; Michael Goldstein; Eric M. Gullikson; Jim Kennon; Bob Kestner; Lou Marchetti; Patrick P. Naulleau; Regina Soufli; Johannes Bauer; Markus Mengel; Joachim Welker; Michael Grupp; Erik Sohmen; Stefan Wurm

In support of the Extreme Ultraviolet Lithography (EUVL) roadmap, a SEMATECH/CNSE joint program is underway to produce multiple EUVL (wavelength of 13.5 nm) R&D photolithography tools. The 0.5 NA projection optic magnification (5X), track length and mechanical interfaces match the currently installed 0.3 NA micro-field exposure tools (MET) projection optic [1] [2] [3]. Therefore, significant changes to the current tool platforms and other adjacent modules are not necessary. However, many of the existing systems do need upgrades to achieve the anticipated smaller exposure feature sizes [4]. To date we have made considerable progress in the production of the first of the two-mirror 0.5 NA projection optics for EUVL [5]. With a measured transmitted wave front error of less than 1 nm root mean square (RMS) over its 30 μm × 200 μm image field, lithography modeling shows that a predicted resolution of ≤12 nm and an ultimate resolution of 8 nm (with extreme dipole illumination) will be possible. This paper will present an update from the 0.5 NA EUVL program. We will detail the more significant activities that are being undertaken to upgrade the MET and discuss expected performance.


Proceedings of SPIE | 2015

Collaborative work on reducing the intersite gaps in outgassing qualification

Soichi Inoue; Eishi Shiobara; Takeshi Sasami; Isamu Takagi; Yukiko Kikuchi; Toru Fujimori; Shinya Minegishi; Robert F. Berg; Thomas B. Lucatorto; Shannon B. Hill; Charles S. Tarrio; Ivan Pollentier; Yen-Chih Lin; Yu-Jen Fan; Dominic Ashworth

This paper reports on an all-out effort to reduce the intersite gap of the resist outgassing contamination growth in the results obtained under the round-robin scheme. All test sites collaborated to determine the causes of such gaps. First, it was determined that wafer temperature during exposure could impact the amount of contamination growth. We discovered a huge intersite gap of wafer temperatures among the sites by using a wafer-shaped remote thermometer with wireless transmitting capability. Second, whether the contamination-limited regime was attained during testing could have been another primary root cause for such a difference. We found that for one of the model resists whose protecting unit had lower activation energy and molecular weight the contamination-limited regime was insufficient at one test site. Third, the ratio of the exposed area to pumping speed is necessary to equalize contamination growth. We validated the effect of matching the ratio of exposure area to pumping speed on reducing the intersite gap. This study and the protocols put in place should reduce the intersite gap dramatically.


Proceedings of SPIE | 2014

Projection optics for EUVL micro-field exposure tools with 0.5 NA

Holger Glatzel; Dominic Ashworth; Dan Bajuk; Matt Bjork; Mark Bremer; Mark Cordier; Kevin Cummings; Luc Girard; Michael Goldstein; Eric M. Gullikson; Samuel Hardy; Russ Hudyma; James Kennon; Robert Kestner; Lou Marchetti; Keyvan Nouri; Patrick P. Naulleau; Daniel Pierce; Regina Soufli; Yogesh Verma

In last year’s report, we discussed the design and requirements of the optical projection module (Projection Optics Box [POB]) for the 0.5-NA Micro-field Exposure Tool (MET5) and the resulting challenges. Over the course of this past year, we have completed and fully qualified the metrology of individual mirrors. All surface figure errors have been measured over seven orders of magnitude with spatial periods ranging from the full clear aperture down to 10 nm. The reproducibility of the full aperture tests measures 16 pm RMS for the M1 test and 17 pm for the M2 test with a target of 30 pm for both tests. Furthermore, we achieved excellent results on scatter and flare: For scatter, both mirrors perform about a factor of two below specification. For flare, the larger M2 mirror performs well within and the smaller M1 mirror about a factor of two below specification. In addition, we have developed processes for correcting surface figure errors for both mirrors and have successfully demonstrated high-reflectivity coatings on pathfinder mirrors. Further, we have achieved significant goals with respect to the design, assembly, metrology and alignment of the projection module. This paper reviews this progress and describes the next step in the ambitious MET5 POB development program.


Proceedings of SPIE | 2008

Continuing 193nm optical lithography for 32nm imaging and beyond

Emil Piscani; Dominic Ashworth; Jeff D. Byers; Chris K. Van Peski; Paul Zimmerman; Bryan J. Rice

The practical extendibility of immersion lithography to the 32nm and 22nm nodes is being supported on immersion microsteppers installed at SEMATECH in Albany, New York. As the industry pushes the limits of water-based immersion technologies, research has continued into developing alternative materials to extend optical lithography for upcoming device generations. High index materials have been the primary focus of investigation, including optical lens materials such as lutetium aluminum garnet (LuAG with n=2.14) and barium lithium fluoride (BaLiF3 with n=1.64), high index fluids (Gen 2 and Gen 3 with n>=1.64), and resists. On a parallel and potentially complementary path, double patterning and double exposure technologies have been proposed. For high index materials research, the Amphibian XIS has demonstrated imaging at 1.50NA (32nm half-pitch) with high index fluids. A prism module is also available to enable imaging with potential BaLiF3 and LuAG prisms. The Exitech MS193i has demonstrated performance and imaging capability at 38nm hp with k1=0.256 at 1.30NA. Modifications at the mask plane now provide a double exposure capability, offering an imaging platform to investigate experimental classes of nonlinear materials and enabling double exposure imaging below k1eff=0.25. In this paper, we will discuss recent developments in these research areas supported by the toolset at SEMATECH.

Collaboration


Dive into the Dominic Ashworth's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eric M. Gullikson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge