Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where James M. Dykes is active.

Publication


Featured researches published by James M. Dykes.


Journal of Pharmaceutical Sciences | 2008

Use of hydrodynamic flow focusing for the generation of biodegradable camptothecin-loaded polymer microspheres

Thomas Schneider; Hong Zhao; John K. Jackson; Glenn H. Chapman; James M. Dykes; Urs O. Häfeli

The present study was conducted to investigate the use of hydrodynamic flow focusing for the generation of biodegradable polymer microspheres encapsulating the anticancer drug camptothecin. Poly(D,L-lactide-co-glycolide) (PLGA) and poly(L-lactide) (PLA) were used as the matrix materials. Camptothecin was dissolved in the disperse phase and microspheres with a mean size between 2 and 3 microm generated using hydrodynamic flow focusing. When up to 1 wt.% of the drug was added to PLA, the drug encapsulation efficiency was 64%. For PLGA, the drug encapsulation efficiency was between 39 and 46%. Drug release from PLA particles was rapid and complete within 6 h, while drug release from PLGA particles showed no burst effect and followed a first order release profile. The encapsulated camptothecin stayed in its active lactone form, as shown by HPLC, and was able to exert cell toxic effects as shown by a cell viability assay. Hydrodynamic flow focusing is a promising tool for the preparation of drug-releasing biodegradable microspheres typically made by solvent evaporation and/or solvent extraction, as indicated by the successful encapsulation of the anticancer drug camptothecin.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Creation of embedded structures in SU-8

James M. Dykes; David K. Poon; Jun Wang; Dan Sameoto; Jimmy T. K. Tsui; Chinheng Choo; Glenn H. Chapman; Ash M. Parameswaren; Bonnie L. Gray

Two methods were investigated for the creation of encapsulated micro-fluidic channels and bridges in negative tone SU-8 photoresist. The first uses two exposures at different wavelengths to create the channel sidewalls and microchannel encapsulation layer; the other method creates both using a single I-line (365 nm) exposure and a grayscale photomask. These methods can define structures with vertical dimensions ranging to hundreds of microns and introduces very little extra processing complexity. For the dual wavelength method, an I-line light source is used to define the channel walls while a non-collimated deep-UV (254 nm) light source provides a large energy dose to the top surface of the SU-8 to produce a membrane over all the channels. Using the dual wavelength method allows SU-8 to be used as the material for the channels and the encapsulation method is self-limiting avoiding the requirement for precise control over the exposure dose. The rate of UV dose and the post-exposure baking parameters are critical to the quality and strength of the micro-channels. Properly designed channels have been successfully developed in lengths up to 1 cm. Alternatively using a grayscale Zn/Al bimetallic photomask and a single I-line exposure, 3D bridge micro-structures were successfully made on SU-8. The use of grayscale masks for both techniques also provides the possibility of shaping the channel. With the ability to create micro-bridges, further research will be performed to investigate how well the single exposure technique can be used to produce micro-channels of various sizes and dimensions.


Proceedings of SPIE | 2005

Creating precise 3D microstructures using laser direct-write bimetallic thermal resist grayscale photomasks

Glenn H. Chapman; James M. Dykes; David K. Poon; Chinheng Choo; Jun Wang; Jun Peng; Yuqiang Tu

Previous research demonstrated Sn/In and Bi/In bimetallic thermal resists are promising new materials for direct-write analogue grayscale photomask processes. These materials turn transparent with increased laser exposure power and their optical density changes smoothly from 3 OD when unexposed to less than 0.22 OD when fully exposed. The transparency is the result of an oxidation process that is controllable with exposure to generate the grayscale levels in the photomask. In order to produce precise 3D structures in regular photoresists, the steps involved in microlithography must be quantified and examined. The lithographic process includes drawing 8-bit grayscale bitmap patterns, computer-aided laser writing photomasks on bimetallic films, and regular photoresist exposure using a mask-aligner. Compensation during the mask-writing process was necessary since the relationship between the optical density of the exposed bimetallic films and the laser writing power was not completely linear. In addition, the response of the photoresists to the mask exposure time was also a non-linear relationship. To investigate the resolution limit for Bi/In and Sn/In bimetallic thermal resists as a masking material, we used a modified form of interference lithography to expose and develop structures in Bi/In resists with widths that are less than 200 nm. As a result of the lithography, we were able to create structures in the Bi/In films that are up to 20 times smaller than previously obtained using the direct-write method.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Adding grayscale layer to chrome photomasks

David K. Poon; James M. Dykes; Chinheng Choo; Jimmy T. K. Tsui; Jun Wang; Glenn H. Chapman; Yuqiang Tu; Patrick Reynolds; Andrew Zanzal

Recent work has shown that bimetallic films, such as Bi/In and Sn/In, can create laser direct-write grayscale photomasks. Using a laser-induced oxidation process; bimetallic films turn transparent with variations in optical transparency that are a function of the laser power. The films exhibit transmittances <0.1% when unexposed and >60% when full laser exposed. A novel grayscale photolithography technique is presented that utilizes conventional chrome photomasks as the high resolution pattern-defining layer with a bimetallic thin film layer deposited on top as the grayscale-defining layer. Having the grayscale layer on top of the chrome, grayscale patterns can be aligned to the underlying chrome patterns. Laser power and bimetallic thin film thickness are carefully calibrated such that no chrome ablation or conversion occurs. The calibration ensures that during laser scanning, the bottom chrome layer defines the fine features of the underlying patterns and remains unchanged, while the bimetallic thin film layer is converted to provide grayscale tones. To further investigate the optical density (OD) properties of this type of mask, we measured the transient time response for pure chrome mask and Bi/In coated chrome mask to help fine tune the laser writing parameters. Using bimetallic Bi/In/Cr photomasks, we have successfully created continuous tone 3D structures with superimposed binary structures in SU-8 photoresist. By introducing this novel combined chrome-bimetallic mask, the fine detail features found in binary lithography may be combined with smoothly-varying 3D microstructures best suited to grayscale methods.


Advances in resist technology and processing. Conference | 2005

Enhanced inorganic bimetallic thermal resists transparency and resolution for photomask fabrication

Glenn H. Chapman; David K. Poon; Chinheng Choo; Yuqiang Tu; James M. Dykes; Jun Wang; Jun Peng; Willy Lennard; K. L. Kavanagh

Bimetallic films have been found to be promising direct write binary and grayscale photomask materials, as they turn transparent after laser exposure. Current structural analysis shows that the laser exposure is an oxidation process. The amount of the oxidized metal created during the laser writing process is related to the laser power, which in turn, determines the gray level (OD) of the exposed film. New exposure conditions have greatly increased the transparency of exposed films (down to 0.18 OD at 365 nm). Furthermore, this extended to deeper UV (300 nm). As the transparency of exposed area changes with the laser exposure power, grayscale photomasks can be created with the bimetallic films, and 3D structures can be produced in the substrate. Interference lithography has been used to investigate the bimetallic films resolution limit, which can generate much finer structures. Lines of 100-180 nm wide were successfully created on silicon and silicon dioxide. Aluminum thin films were found to turn transparent (0.28 OD) after laser exposure with high power, indicating that Al can also be a potential direct-write photomask material.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Bimetallic Thermal Resists Potential for Double Exposure Immersion Lithography and Grayscale Photomasks

James M. Dykes; Calin Plesa; Chinheng Choo; Glenn H. Chapman

Double exposure/patterning is considered the best candidate for extending 195nm optical lithography below 40nm resolution. However, double exposure techniques require a resist where the exposures do not add linearly to produce the final result. A class of negative thermal resists that show this effect are bimetallic thin-films consisting of Bi/In or Sn/In. The films are bi-layered structured until sufficiently heated by a laser exposure pulse (7 mJ/sq. cm for 4 nsec). Experiments with interference lithography at 266nm in air demonstrated that Bi/In resists have a resolution limit <42nm, the exposure system limit. As a first investigation into the resists potential for immersion lithography, the response of bimetallic resists to immersion lithography was examined. The Sn/In film used demonstrated successful development as thermal resist for immersion exposures and the power level required to convert the film was only slightly higher than the level required for exposing the film in air. Bimetallic films have demonstrated transmittances <0.1% when unexposed and >60% when highly exposed to an Argon laser, enabling their application as grayscale photomasks. However, direct laser-writing of the photomasks causes fine variations in their transparency due to the laser beams Gaussian power profile. To correct this problem, a beam-shaping mask was designed to manipulate the power profile of the laser. To help measure mask transparency at a resolution suitable for characterizing a photomask, two photodiode sensors were added to the writing system. The profiling ability offered by the modified system allows the use of test structures 100x smaller then previously required.


field-programmable technology | 2007

A Multiprocessor System-on-Chip Implementation of a Laser-based Transparency Meter on an FPGA

James M. Dykes; Paulman K. Y. Chan; Glenn H. Chapman; Lesley Shannon

Modern FPGAs are large enough to implement multi-processor systems-on-chip (MPSoCs). Commercial FPGA companies also provide system design tools that abstract sufficient low-level system details to allow non-FPGA experts to design these systems for new applications. The application presented herein was designed by photomask researchers to implement a new technique for measuring the transparency of bimetallic grayscale masks using an FPGA platform. Production of the bimetallic grayscale masks requires a direct-write laser system. Previously, system calibration was determined by writing large rectangles of varying transparency on a mask and then measuring them using a spectrometer. The proposed technique uses the same mask-writing system but adds photodiode sensors connected to a multiprocessor computing system implemented on an FPGA. The added sensors combined with the laser beams smaller focal point allows the calibration rectangles to be up to 5000 times smaller than those required by the spectrometer. This allows for direct mask verification on a mum-sized scale. Furthermore, the MPSoC design on the FPGA is easily scalable to support an increased number of photodiodes for the future addition of a feedback approach to the project.


canadian conference on electrical and computer engineering | 2007

Bimetallic Thin Film Grayscale Photomasks for Complex 3D Microstructure Creation in SU-8

Jun Wang; James M. Dykes; Chinheng Choo; David K. Poon; Marian Chang; Jimmy T. K. Tsui; Glenn H. Chapman

Metallic thin films can be fully oxidized by focused laser beams, resulting in their optical density (OD) changing from highly absorbing to very transparent. Previous research found the laser-induced partial oxidation process allows the creation of grayscale photomasks. This work investigates Sn/Zn, Zn/Al and In/Zn thin films, which are DC/RF-sputter deposited and then scanned by an argon ion CW laser. The resulting transmittance for the mask varies from 0.06% (3.2 OD) to 63% (0.2 OD), offering a greater range of transparency at I-line (365 nm) than existing commercial grayscale masks such as chrome halftone binary and analog HEBS photomasks. Having 8-bit gray level precision, bimetallic films are capable of producing complex 3D microstructures using only a single exposure. Using SU-8, a thick negative photoresist, along with standard photolithography, microfeatures with height variations up to 100 mum were successfully generated.


Proceedings of SPIE | 2007

Improving the optical characteristics of bimetallic grayscale photomasks

James M. Dykes; David K. Poon; Jun Wang; Jimmy T. K. Tsui; Glenn H. Chapman

Bimetallic thin-films offer the ability of producing analog grayscale photomasks with OD ranging from ~3.0OD (unexposed) to <0.22OD (fully exposed). Recent developments have yielded the ability to deposit and pattern bimetallic thin-films on pre-patterned binary Chrome masks. Care is taken to ensure that when writing the grayscale pattern that the underlying Chrome layer is not affected. Through this technique, the advantages of analog grayscale can be added to the high resolution capabilities currently available with Chrome masks. Currently the optical characteristics of bimetallic thin-films limit their effectiveness in high resolution applications. Techniques designed to minimize defects in the uniformity of thin-films after laser exposure are investigated along with different methods of performing the raster-scanning of the photomask patterns. Also discussed is a new application of bimetallic thin-films as a beam-shaping mask. Characterizing the laser beam profile for our writing system, a grayscale mask is designed and tested in an attempt to modify the Gaussian beam profile of the laser into a more uniform flat-top profile. Obtaining a flatter laser power distribution for the writing laser would assist in improving the optical characteristics of the bimetallic thin-films since the primary cause for the photomasks gray level non-uniformities is the Gaussian nature of the laser beams power distribution causing lines on the photomasks. A flatter profile is shown to eliminate these lines and allow for more uniform gray levels on the laser-exposed bimetallic thin-films.


Advanced Fabrication Technologies for Micro/Nano Optics and Photonics IV | 2011

Bimetallic grayscale photomasks written using optical density feedback control

James M. Dykes; Reza Qarehbaghi; Glenn H. Chapman

When bimetallic thin films of Bi/In and Sn/In are laser exposed, they oxidize and become variably transparent. By controlling the writing laser power, binary and grayscale photomasks can be produced with the masks transparency (optical density, OD), ranging between ~3.0 (unexposed) to <0.22 OD (fully exposed). Targeting the production of grayscale masks with 256 levels, the mask-writing system when combined with photodiode sensors obtains real-time OD and laser power measurements and uses them to adjust the lasers writing power during the patterning process. For a single-line stepped pattern, laser writing without OD feedback control demonstrates an average absolute error of 4.2 gray levels, while with OD feedback control and the appropriate parameters, the same pattern is produced with an average absolute error of 0.3 gray levels. The control parameters are shown to influence the characteristics of the resulting mask pattern, particularly the overshoot and rise-time of the pixel transitions. With multi-line mask patterns being rasterscanned written, the overlap of the lines combined with the lasers Gaussian profile creates variations in the mask, and measurement problems for the OD feedback control. An interlaced raster-scan approach is proposed where a first pass patterns non-overlapping lines using an ideal set of control parameters. A second and third pass then patterns the lines inbetween and at the pixel boundaries using another set parameters designed to account for the overlap. The technique allows feedback to be used for the entire mask writing process.

Collaboration


Dive into the James M. Dykes's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jun Wang

Simon Fraser University

View shared research outputs
Top Co-Authors

Avatar

Yuqiang Tu

Simon Fraser University

View shared research outputs
Top Co-Authors

Avatar

Jun Peng

Simon Fraser University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Calin Plesa

Simon Fraser University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge