Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jason Sweis is active.

Publication


Featured researches published by Jason Sweis.


Proceedings of SPIE | 2012

Self-aligned double patterning (SADP) compliant design flow

Yuangsheng Ma; Jason Sweis; Hidekazu Yoshida; Yan Wang; Jongwook Kye; Harry J. Levinson

Double patterning with 193nm optical lithography is inevitable for technology scaling before EUV is ready. In general, there are two major double patterning techniques (DPT): Litho-Etch-Litho-Etch (LELE) and sidewall spacer technology, a Self-Aligned Double Patterning technique (SADP). So far LELE is much more mature than SADP in terms of process development and design flow implementation. However, SADP has stronger scaling potential than LELE due to its smaller design rules on tip-tip and tip-side as well as its intrinsic self-align property. In this paper, we will explain in detail about how to enable a SADP-friendly design flow from multiple perspectives: design constructs, design rules, standard cell library and routing. In addition, the differences between SADP and LELE in terms of design, scaling capability and RC performance will be addressed.


Proceedings of SPIE | 2010

Decomposition strategies for self-aligned double patterning

Yuansheng Ma; Jason Sweis; Christopher Dennis Bencher; Huixiong Dai; Yongmei Chen; Jason P. Cain; Yunfei Deng; Jongwook Kye; Harry J. Levinson

Spacer technology, a self-aligned double patterning (SADP) technique, has been drawing more and more attention due to its less stringent overlay requirements compared to other double-patterning methods. However, use of SADP techniques was previously limited by the lack of flexibility in terms of decomposition options , and significant developments were mainly implemented for 1D-type applications for memory. In this paper, we extend the SADP technique into the logic field. A matrix of design rule extraction structures was created by GLOBALFOUNDRIES, which was then decomposed into 2-mask SADP patterning solutions by Cadence Design Systems, and wafers were manufactured by Applied Materials. The wafers were processed in both positive and negative spacer tones, and then we evaluate the design capabilities of SADP for logic BEOL patterning on pitches from 56nm to 64nm. It shows that the SADP has big advantage over other pitch splitting techniques such as LELE in terms of design rules, overlay, and CD uniformity control. With SADP, the most challenging design rules for BEOL such as tip-to-tip and tip-to-line can be reduced 50% from 80 nm to 40 nm.


Proceedings of SPIE | 2011

Double patterning compliant logic design

Yuangsheng Ma; Jason Sweis; Christopher Dennis Bencher; Yunfei Deng; Huixiong Dai; Hidekazu Yoshida; Bimal Gisuthan; Jongwook Kye; Harry J. Levinson

Double patterning technology (DPT) is the only solution to enable the scaling for advanced technology nodes before EUV or any other advanced patterning techniques become available. In general, there are two major double patterning techniques: one is Litho-Etch-Litho-Etch (LELE), and the other is sidewall spacer technology, a Self-Aligned Double Patterning technique (SADP). While numerous papers have previously demonstrated these techniques on wafer process capabilities and processing costs, more study needs to be done in the context of standard cell design flow to enable their applications in mass production. In this paper, we will present the impact of DPT on logic designs, and give a thorough discussion on how to make DPT-compliant constructs, placement and routing using examples with Cadences Encounter Digital Implementation System (EDI System).


Proceedings of SPIE | 2011

DPT restricted design rules for advanced logic applications

Yunfei Deng; Yuangsheng Ma; Hidekazu Yoshida; Jongwook Kye; Harry J. Levinson; Jason Sweis; Tamer H. Coskun; Vishnu Kamat

Double patterning technology (DPT) provides the extension to immersion lithography before EUV lithography or other alternative lithography technologies are ready for manufacturing. Besides the additional cost due to DPT processes over traditional single patterning process, DPT design restrictions are of concerns for potential additional design costs. This paper analyzes design restrictions introduced by DPT in the form of DPT restricted design rules, which are the interface between design and technology. Both double patterning approaches, Litho-Etch-Litho-Etch (LELE) and Self-Aligned Double Patterning with spacer lithography (SADP), are studied. DPT design rules are summarized based on drawn design layers instead of decomposed layers. It is shown that designs can be made DPT compliant designs if DPT design rules are enforced and DPT coloring check finds no odd cycles. This paper also analyzes DPT design rules in the design rule optimization flow with examples. It is essential to consider DPT design rules in the integrated optimization flow. Only joint optimization in design rules between design, decomposition and process constraints can achieve the best scaled designs for manufacturing. This paper also discusses DPT enablement in the design flow where DPT aware design tools are needed so that final designs can meet all DPT restricted design rules.


Proceedings of SPIE | 2011

Mandrel-based patterning: density multiplication techniques for 15nm nodes

Christopher Dennis Bencher; Huixiong Dai; Liyan Miao; Yongmei Chen; Ping Xu; Yijian Chen; Shiany Oemardani; Jason Sweis; Vincent Wiaux; Jan Hermans; Li-Wen Chang; Xin-Yu Bao; He Yi; H.-S. Philip Wong

In many ways, sidewall spacer double patterning has created a new paradigm for lithographic roadmaps. Instead of using lithography as the principal process for generating device features, the role of lithography becomes to generate a mandrel (a pre-pattern) off-of-which one will subsequently replicate patterns with various degrees of density multiplication. Under this new paradigm, the innovativeness of various density multiplication techniques is as critical to the scaling roadmap as the exposure tools themselves. Sidewall spacer double patterning was the first incarnation of mandrel based patterning; adopted quickly in NAND flash where layouts were simple and design space was focused. But today, the use of advanced automated decomposition tools are showing spacer based patterning solutions for very complex logic designs. Future incarnations can involve the use of laminated spacers to create quadruple patterning or by retaining the original mandrel as a method to obtain triple patterning. Directed self-assembly is yet another emerging embodiment of mandrel based patterning, where selfseparating polymers are registered and guided by the physical constraint of a mandrel or by chemical pre-pattern trails formed onto the substrate. In this summary of several bodies of work, we will review several wafer level demonstrations, all of which use various forms of mandrel or stencil based density multiplication including sidewall spacer based double, triple and quadruple patterning techniques for lines, SADP for via multiplication, and some directed self-assembly results all capable of addressing 15nm technology node requirements and below. To address concerns surrounding spacer double patterning design restrictions, we show collaboration results with an EDA partner to demonstrate SADP capability for BEOL routing layers. To show the ultimate realization of SADP, we partner with IMEC on multiple demonstrations of EUV+SADP.


Proceedings of SPIE | 2010

Full Area Pattern Decomposition of Self-Aligned Double Patterning for 30nm Node NAND FLASH Process

Yi-Shiang Chang; Jason Sweis; Jun-Cheng Lai; Chia-Chi Lin; Jonathan Yu

Self Aligned Double Patterning (SADP) has the advantage of dense array definition with good pitch control and is hence useful for memory devices; but its feasibility of two-dimensional circuit patterns definition is restricted on the other hand. In SPIE 2009, we had proposed the ideas of 30nm node NAND FLASH cell circuit critical feature (pickup, gate, contact array) decomposition by SADP, based on manual design. The concerns of process integration as well as SADP alignment algorithm for each mask step were investigated and countermeasures were presented. In this paper, the previous works on manual-based pattern decomposition are extended to a more sophisticated use on full-area NAND FLASH critical layer layout decomposition by utilizing an automated electronic design (EDA) tool. The decomposition tool together with OPC and simulation tools are integrated to optimize the lithographic performance of local critical patterns in each decomposed mask step, and comparisons have been made as well to investigate the differences in layout splitting algorithm between EDA-based and manual-based decomposition. Finally, the full-area (9350×12800um) layout decomposition has been successfully demonstrated on NAND FLASH Gate and Metal critical layers by using the EDA tool with improved 2D structure handling algorithms.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Multi-layer reticle (MLR) strategy application to double-patterning/double-exposure for better overlay error control and mask cost reduction

Yasuhisa Yamamoto; Rodney Rigby; Jason Sweis

Double-patterning lithography / double-exposure lithography is believed to be a solution in order to enable the 32nm-Half-Pitch (HP) and below process node until EUV lithography infrastructure is ready. However, one of the biggest challenges is the overlay budget along with critical dimension (CD) control. In this paper, we propose that instead of using multiple masks for the DPL (STD DPL), multiple split patterns are printed on a single mask so that each pattern is separately or simultaneously exposed onto a wafer in order to reduce the mask-to-mask overlay error. This can also reduce the mask cost and mask manufacturing time compared with STD DPL, at the expense of reducing manufacturing throughput. We propose two ideas about how to place the split patterns in a single mask and simulate corresponding shot throughput comparisons. The results show that by using multi-layer reticle (MLR) strategy for splitting the original layout into 2 split patterns onto a single mask (Method I), we achieve: 1) reduction of the mask-to-mask overlay error factor 2) use of a single mask (reducing mask costs) and 3) reduction of wafer shot throughput to roughly 50% of that achieved by STD DPL. Also by using our new approach of placing multiple-split patterns to form the arrays within the mask scribe (Method II), we achieve: 1) reduction of the mask-to-mask overlay error factor 2) use of a single mask (reducing mask costs) and 3) drastically improved wafer shot throughput (at least 90% of the STD DPL, 180% of Method I).


Proceedings of SPIE | 2014

Layout induced variability and manufacturability checks in FinFETs process

Yongchan Ban; Jason Sweis; Philippe Hurat; Ya-Chieh Lai; Yongseok Kang; Woo Hyun Paik; Wei Xu; Huiyuan Song

With increasing chip sizes and shrinking device dimensions, on-chip semiconductor process variation can no longer be ignored in the design and signoff static timing analysis of integrated circuits. An important parameter affecting CMOS technologies is the gate length (Lgate) of a transistor. In modern technologies significant spatial intra-chip variability of transistor gate lengths which are systematic, as opposed to random, can lead to relatively large variations in circuit path delays. Spatial variations in Lgate affect circuit timing properties, which can lead to timing errors and performance loss. To maximize performance and process utilization in microprocessor designs, we have developed and validated a timing analysis methodology based on accurate silicon contour prediction from drawn layout and contour-based extraction of our designs. This allows for signoff timing without unnecessarily large margins, thereby reducing chip area and maximizing performance while ensuring chip functionality, improved process utilization and yield. In this paper we describe such a chip timing methodology, its validation and implementation in microprocessor design. We also report results of layout optimization based on new pattern matching technology.


Design-Process-Technology Co-optimization for Manufacturability XII | 2018

Applying machine learning to pattern analysis for automated in-design layout optimization

Jason P. Cain; Moutaz Fakhry; Piyush Pathak; Jason Sweis; Frank E. Gennari; Ya-Chieh Lai

Building on previous work for cataloging unique topological patterns in an integrated circuit physical design, a new process is defined in which a risk scoring methodology is used to rank patterns based on manufacturing risk. Patterns with high risk are then mapped to functionally equivalent patterns with lower risk. The higher risk patterns are then replaced in the design with their lower risk equivalents. The pattern selection and replacement is fully automated and suitable for use for full-chip designs. Results from 14nm product designs show that the approach can identify and replace risk patterns with quantifiable positive impact on the risk score distribution after replacement.


Proceedings of SPIE | 2016

Methodology for analyzing and quantifying design style changes and complexity using topological patterns

Jason P. Cain; Ya-Chieh Lai; Frank E. Gennari; Jason Sweis

In order to maximize yield, IC design companies spend a lot of effort to analyze what types of design styles are needed and used in their layouts (standard cells, macros, routing layers, and so forth). This paper introduces a novel methodology for full chip high performance topological pattern analysis and the applications of this methodology towards analyzing design styles in order to quantify and measure design changes and the degree of layout regularization. This new approach allows engineers to perform a full profiling across all patterns that exist in design and without needing to explicitly specify what patterns to analyze.

Collaboration


Dive into the Jason Sweis's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yifan Zhang

Cadence Design Systems

View shared research outputs
Top Co-Authors

Avatar

Linda Zhuang

Semiconductor Manufacturing International Corporation

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hua Ding

Cadence Design Systems

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Bob Naber

Cadence Design Systems

View shared research outputs
Researchain Logo
Decentralizing Knowledge