Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jin Wuk Sung is active.

Publication


Featured researches published by Jin Wuk Sung.


Proceedings of SPIE | 2011

Developable BARC (DBARC) technology as a solution to today's implant lithography challenges

James F. Cameron; Jin Wuk Sung; Sabrina Wong; Adam Ware; Yoshihiro Yamamoto; Hiroaki Kitaguchi; Libor Vyklicky; Steve Holmes; Irene Popova; Ranee Kwong; Pushkara Rao Varanasi

As patterning of implant layers becomes increasingly challenging it is clear that the standard resist/Top Antireflective Coating (TARC) process may be soon be limited in terms of its ability to meet implant targets at future nodes. A particularly attractive solution for patterning implant levels is the use of a Developable Bottom Anti-Reflective Coating (DBARC). Similar to a conventional BARC, a DBARC controls reflectivity from the underlying substrate by absorbing the incident radiation thereby minimizing detrimental effects of reflected light. However, unlike a conventional Bottom Anti-Reflective Coating (BARC) which requires a BARC open etch step, the DBARC is developed with the resist in a single step leaving the substrate ready for implantation. These properties make DBARC very attractive for implant layers. In this paper, we report on the development of KrF and ArF DBARCs for implant applications. Our primary interest is in developing solutions for patterning Post-Gate implant levels. We briefly describe our fundamental design concepts and demonstrate the concepts are robust as we develop ARTM602 DBARC to address the criteria for a production worthy DBARC. This includes data on EBR performance, drain line compatibility, sublimation and footing coverage over topography. In terms of lithographic performance, we demonstrate improved capability over the incumbent SLR/TARC process in many key areas. This includes through pitch performance, process window and profile integrity over topography for both KrF and ArF DBARC solutions. Several strategies to enhance profile by resist/DBARC matching are also demonstrated. From a platform robustness standpoint, we show that AR602 DBARC is ready for high volume manufacturing in terms of batch to batch control and shelf life.


Proceedings of SPIE | 2012

Comparison of EUV and e-beam lithographic technologies for sub-22-nm node patterning

James F. Cameron; Jim Thackeray; Jin Wuk Sung; Suzanne Coley; Vipul Jain; Owendi Ongayi; Mike Wagner; Paul LaBeaume; Amy Kwok; David Valeri; Marie Hellion; Béatrice Icard; Bernard Dal'zotto; Claire Sourd; Laurent Pain

Prompted by the fact that the International Technology Roadmap for Semiconductors (ITRS) has declared no proven optical solutions are available for sub 22nm hp patterning, we have investigated e-Beam and Extreme Ultraviolet (EUV) resist performance with a view to High Volume Manufacturing (HVM) at these design rules. Since these patterning technologies are considered the leading candidates to replace Immersion ArF (ArFi) multilevel patterning schemes, it was deemed prudent to assess the readiness of these imaging options. We review the advantages and disadvantages of each patterning method and highlight general technology challenges as well as resist specific challenges. In terms of resist specific challenges, we primarily focus on Resolution, Linewidth roughness and Sensitivity (RLS) tradeoffs for both e-Beam and EUV patterning. These metrics are of particular relevance as the industry continues to contend with the well known tradeoffs between these performance criteria. The RLS relationship is probed for both line space and contact hole patterns with each exposure wavelength. In terms of resist selection, we focus on our advanced Polymer Bound PAG (PBP) resist platform as it has been designed for high resolution applications. We also assess resist outgassing during EUV exposure as it is a potential barrier to adoption of EUV for HVM.


Proceedings of SPIE | 2009

Progress towards production worthy developable BARCs (DBARCs)

James F. Cameron; John Amara; Gregory P. Prokopowicz; Jin Wuk Sung; David Valeri; Adam Ware; Kevin O'Shea; Yoshihiro Yamamoto; Tomoki Kurihara; Libor Vyklicky; Wu-Song Huang; Irene Popova; Pushkara Rao Varanasi

Developable bottom anti-reflective coating (DBARC) technology holds promise in two main areas of lithography. The first application of DBARC is in implant lithography where patterning implant levels would greatly benefit from improved reflection control such as provided by a conventional BARC. However, implant layers cannot withstand BARC open etch thereby making DBARC an attractive solution as the resist and DBARC are simultaneously dissolved during the development step leaving the underlying substrate ready for implantation. In comparison to current implant processes with top anti-reflective coatings (TARC), DBARCs are anticipated to offer improvements in reflection control which would translate to improved CDU and increased process window for both KrF and ArF implants. Indeed, this area has long been considered the ideal insertion point for DBARC technology. The second area where DBARC technology can make a significant impact is in non-implant lithography. In this large segment, the ability to replace a conventional BARC with a DBARC affords the device maker the ability to simplify both lithographic and integration processes. By replacing the BARC with a DBARC, the BARC open etch is negated. Furthermore, by applying this strategy on multilayer stacks it is possible to greatly simplify the process by avoiding both CVD steps and pattern transfer steps thereby easing integration. In this area, DBARC technology could have merit for low k1 KrF and ArF (dry) lithography as well as in immersion ArF processes. This paper describes our results in designing production worthy DBARCs for both implant and non-implant applications. A newly developed KrF DBARC platform is evaluated for logic implant applications and compared to a standard TARC implant process. Post develop residue and defectivity are checked for the new platform and the results compared to production worthy BARC and implant resists. A new ArF platform was also developed and initial lithographic results are reported for an implant application. Several non-implant applications were also investigated and results are reported for high resolution KrF and ArF (dry) lithography as well as an immersion ArF process.


Journal of Photopolymer Science and Technology | 2011

Optimization of Polymer-bound PAG (PBP) for 20nm EUV Lithography

James W. Thackeray; Vipul Jain; Suzanne Coley; Matthew D. Christianson; Daniel J. Arriola; Paul LaBeaume; Su-Jin Kang; Mike Wagner; Jin Wuk Sung; Jim Cameron


Archive | 2010

Coating compositions suitable for use with an overcoated photoresist

John Amara; James F. Cameron; Jin Wuk Sung; Gregory P. Prokopowicz


Archive | 2014

ACID GENERATORS AND PHOTORESISTS COMPRISING SAME

James F. Cameron; Vipul Jain; Paul LaBeaume; Jin Wuk Sung; James W. Thackeray


Archive | 2010

Coating composition suitable for use with overcoated photoresist

John Amara; F Cameron James; Gregory P. Prokopowicz; Jin Wuk Sung; グレゴリー・ピー・プロコポビッチ; ジェームズ・エフ・キャメロン; ジョン・ピー・アマラ; チン・ウク・ソン


Archive | 2014

Photoresists comprising multiple acid generator compounds

James W. Thackeray; Jin Wuk Sung; Paul LaBeaume; Vipul Jain


Archive | 2016

COMPOSITIONS AND METHODS FOR PATTERN TREATMENT

Vipul Jain; Mingqi Li; Huaxing Zhou; Jong Keun Park; Phillip D. Hustad; Jin Wuk Sung


Journal of Photopolymer Science and Technology | 2010

Design and development of developable BARCs (DBARCs) for advanced lithographic applications

James F. Cameron; John Amara; Jin Wuk Sung; David Valeri; Adam Ware; Kevin O'Shea; Yoshihiro Yamamoto; Hiroaki Kitaguchi; Libor Vyklicky; Irene Popova; Pushkara Rao Varanashi

Collaboration


Dive into the Jin Wuk Sung's collaboration.

Researchain Logo
Decentralizing Knowledge