Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jordi Cortadella is active.

Publication


Featured researches published by Jordi Cortadella.


Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems | 1994

Designing asynchronous circuits from behavioural specifications with internal conflicts

Jordi Cortadella; Luciano Lavagno; Peter Vanbekbergen; Alex Yakovlev

The paper presents a systematic method for synthesizing asynchronous circuits from event-based specifications with conflicts on output signals. It describes a set of semantic-preserving transformations performed at the Petri net level, which introduce auxiliary signal transitions implemented by internally analogue components, Mutual Exclusion (ME) elements. The logic for primary outputs can therefore be realized free from hazards and external meta-stability. The technique draws upon the use of standard logic components and two-input MEs, available in a typical design library.


design automation conference | 1999

Automatic synthesis and optimization of partially specified asynchronous systemsProceedings 1999 Design Automation Conference (Cat. No. 99CH36361)

Alex Kondratyev; Jordi Cortadella; Michael Kishinevsky; Luciano Lavagno; Alex Yakovlev

A method for automating the synthesis of asynchronous control circuits from high level (CSP-like) and/or partial STG (involving only functionally critical events) specifications is presented. The method solves two key subtasks in this new, more flexible, design flow: handshake expansion, i.e. inserting reset events with maximum concurrency, and event reshuffling under interface and concurrency constraints, by means of concurrency reduction. In doing so, the algorithm optimizes the circuit both for size and performance. Experimental results show a significant increase in the solution space explored when compared to existing CSP-based or STG-based synthesis tools.


Archive | 2007

Asynchronous, multi-rail, asymmetric-phase, static digital logic with completion detection and method for designing the same

Christos P. Sotiriou; Alex Kondratyev; Jordi Cortadella; Luciano Lavagno


Archive | 2008

Variability-Aware Asynchronous Scheme for Optimal-Performance Delay Matching

Jordi Cortadella; Vigyan Singhal; Emre Tuncer


Archive | 2011

Network of tightly coupled performance monitors for determining the maximum frequency of operation of a semiconductor ic

Jordi Cortadella; Luciano Lavagno; Emre Tuncer


Archive | 2008

Variability-Aware Scheme for High-Performance Asynchronous Circuit Voltage Reglulation

Jordi Cortadella; Vigyan Singhal; Emre Tuncer; Luciano Lavagno


Archive | 2008

Variability-Aware Asynchronous Scheme for High-Performance Communication Between an Asynchronous Circuit and a Synchronous Circuit

Jordi Cortadella; Vigyan Singhal; Emre Tuncer; Luciano Lavagno


Archive | 2008

Variability-Aware Asynchronous Scheme Based on Two-Phase Protocols

Jordi Cortadella; Vigyan Singhal; Emre Tuncer


Archive | 2008

Variability-Aware Asynchronous Scheme Based on Two-Phase Protocols Using a Gated Latch Enable Scheme

Jordi Cortadella; Vigyan Singhal; Emre Tuncer


Archive | 1997

A region-based theory for state assignment in asynchronous circuits.

Jordi Cortadella; Michael Kishinevsky; Alex Kondratyev; Luciano Lavagno; Alex Yakovlev

Collaboration


Dive into the Jordi Cortadella's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Michael Kishinevsky

Technical University of Denmark

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jonas Casanova

Polytechnic University of Catalonia

View shared research outputs
Top Co-Authors

Avatar

Jim D. Garside

University of Manchester

View shared research outputs
Researchain Logo
Decentralizing Knowledge