Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Juliann Opitz is active.

Publication


Featured researches published by Juliann Opitz.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Protecting groups for 193-nm photoresists

Robert D. Allen; Ratnam Sooriyakumaran; Juliann Opitz; Gregory M. Wallraff; Richard A. Di Pietro; Gregory Breyta; Donald C. Hofer; Roderick R. Kunz; Saikumar Jayaraman; Robert A. Shick; Brian L. Goodall; Uzodinma Okoroanyanwu; C. Grant Willson

Two versions of 193-nm single layer resists based on acrylic polymer chemistry have been described previously. The version 1 resist is a tool-testing version and is based on a methacrylate terpolymer structure. Its etch resistance analogue (version 2 resist) contains alicyclic compounds attached to the acrylic backbone. Key to enabling the performance of version 2 resist are the use of steroid additives which behave principally as thermomechanical modifiers to improve the mechanical properties of these rigid polymers through plasticization. We used the tertiary-butyl ester protecting group in these resists for thermal stability and other considerations. This paper describes an investigation of the impact of acid-cleavable protecting group structure on the properties of a series of model acrylic polymers. In this investigation, factors such as thermochemical stability, reactivity to photogenerated acid, and dissolution properties of exposed films as a function of dose were examined. A new highly reactive protecting group is introduced in this study, the tetrahydrofuranyl ester (THF) of methacrylic acid. Additionally, we introduce a new polymer family (polynorbornenes) with superior etch resistance, significantly broadening the polymer chemistry available for the construction of new 193-nm photoresists.


Advances in resist technology and processing XVII | 2000

Dissolution/swelling behavior of cycloolefin polymers in aqueous base

Hiroshi Ito; Robert D. Allen; Juliann Opitz; Thomas I. Wallow; Hoa D. Truong; Donald C. Hofer; Pushkara Rao Varanasi; George M. Jordhamo; Saikumar Jayaraman; Richard Vicari

Polycycloolefins prepared by addition polymerization of norbornene derivatives are quite different from hydroxystyrene-based polymers in terms of their interaction with aqueous base. Their dissolution kinetics monitored on a quartz crystal microbalance is not a smooth function of the ratio of the polar to nonpolar functionalities in polymer but abruptly changes from very fast dissolution to massive swelling within a narrow range of composition. The maximum swelling is a function of thickness and the entire film thickness can swell in a few seconds at > 3,000 angstroms/sec or at immeasurably fast rates. The initial concentration of a pendant carboxylic acid in polymer has to be selected to minimize swelling and the concentration of an acid-labile group to induce fast dissolution in the exposed area. Furthermore, swelling which occurs in the partially- exposed regions must be minimized by incorporating a third monomer unit or by adding a dissolution modifying agent (DMA) such as t-butyl cholate. However, the function of DMA which is also acid-labile is quite complex; depending on the matrix polymer composition and its dissolution/swelling behavior, DMA could function as a swelling suppressor or promoter and a carboxylic acid generated by acidolysis of DMA as a dissolution or swelling promoter. Photochemically generated sulfonic acid could also affect the dissolution/swelling behavior. Base hydrolysis of anhydride during development is controlled by the polarity (carboxylic acid concentration) in polymer film, which has been demonstrated in an unequivocal fashion by IR spectroscopy under the condition strongly mimicking the development process and thus could boost development contrast but could hurt performance as well. Thus, incorporation of carboxylic acid in the form of methacrylic acid, for example, in radical copolymerization of norbornene with maleic anhydride must be handled carefully as it would increase the susceptibility of the anhydride hydrolysis and could introduce heterogeneity in the polymer as methacrylic acid is rapidly consumed, producing a terpolymer containing a different molar concentration of norbornene and maleic anhydride (a proof against the commonly believed charge transfer polymerization mechanism).


Advances in resist technology and processing. Conference | 1997

New ESCAP-type resist with enhanced etch resistance and its application to future DRAM and logic devices

Will Conley; William R. Brunsvold; Fred Buehrer; Ronald A. DellaGuardia; David M. Dobuzinsky; Timothy R. Farrell; Hok Ho; Ahmad D. Katnani; Robin Keller; James T. Marsh; Paul K. Muller; Ronald W. Nunes; Hung Y. Ng; James M. Oberschmidt; Michael Pike; Deborah Ryan; Tina J. Cotler-Wagner; Ron Schulz; Hiroshi Ito; Donald C. Hofer; Gregory Breyta; Debra Fenzel-Alexander; Gregory M. Wallraff; Juliann Opitz; James W. Thackeray; George G. Barclay; James F. Cameron; Tracy K. Lindsay; Michael F. Cronin; Matthew L. Moynihan

This new photoresist system extends the capability of the ESCAP platform previously discussed. (1) This resist material features a modified ESCAP type 4-hydroxystyrene-t-butyl acrylate polymer system which is capable of annealing due to the increased stability of the t-butyl ester blocking group. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus previous DUV resists, APEX and UV2HS. Improved stabilization of chemically amplified photoresist images can be achieved through reduction of film volume by film densification. When the host polymer provides good thermal stability the soft bake conditions can be above or near the Tg (glass transition) temperature of the polymer. The concept of annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions and overall formulation coupled with high NA (numerical aperture) exposure systems, affords linear lithography down to 0.15 micrometer for isolated lines with excellent post exposure delay stability. In this paper, we discuss the UV4 and UV5 photoresist systems based on the ESCAP materials platform. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus APEX-E and UV2HS. Due to lower acrylate content, the Rmax for this system can be tuned for feature-type optimization. We demonstrate sub-0.25 micrometer process window for isolated lines using these resists on a conventional exposure tool with chrome on glass masks. We also discuss current use for various device levels including gate structures for advanced microprocessor designs. Additional data will be provided on advanced DRAM applications for 0.25 micrometer and sub-0.25 micrometer programs.


Advances in Resist Technology and Processing XII | 1995

Kinetics of chemically amplified resists

Gregory M. Wallraff; William D. Hinsberg; Frances A. Houle; Juliann Opitz; Dale Hopper; John M. Hutchinson

Deep-UV chemically amplified (CA) resists are among the leading candidates for the manufacture of semiconductors at 0.25 micron ground rules. In systems of this type, a latent image of photogenerated acid is produced in the resist film on pattern-wise exposure to UV light. The subsequent post-exposure bake (PEB) step drives a thermal reaction, causing a change in the aqueous base solubility of the resist in the exposed regions. Due to the fact that the photochemical and thermal images are decoupled it is important to understand the details of the resist thermal chemistry in order to understand how process conditions affect properties such as linewidth control and resolution. We describe here in-situ, high data-rate, accurate measurements of the chemical kinetics that occur in CA resists during post-exposure bake (PEB). The experimental methodology employs IR or UV spectroscopic measurement under carefully controlled isothermal conditions to determine resist film composition as a function of time. The acid-catalyzed deprotection reactions of two candidate deep-UV resist materials, poly(t-butoxy carbony-loxystyrene)(PTBOC) and poly(t-butyl methacrylate)(PTBMA), were characterized. We propose a model for the acidolysis reactions for both polymer systems and extract coefficients using a stochastic kinetics simulator. This model explicitly addresses the effects of photo-acid strength on the efficiency of the deprotection step. Excellent agreement between the model and experimental data is obtained. The derived rate coefficients are shown to be useful for quantitative prediction of the chemical kinetics of related resist systems. Mechanistic implications of the values of the derived rate coefficients are discussed. The influence of chemical kinetics on the resists lithographic properties is examined.


23rd Annual International Symposium on Microlithography | 1998

Positive bilayer resists for 248- and 193-nm lithography

Ratnam Sooriyakumaran; Gregory M. Wallraff; Carl E. Larson; Debra Fenzel-Alexander; Richard A. Di Pietro; Juliann Opitz; Donald C. Hofer; Douglas C. LaTulip; John P. Simons; Karen Petrillo; Katherina Babich; Marie Angelopoulos; Qinghuang Lin; Ahmad D. Katnani

We have designed and developed new silicon containing methacrylate monomers that can be used in bilayer resist systems. New monomers were developed because the commercially available silicon monomers were found to be unsuitable for our applications. During the course of the investigation we determined that these monomers were acid labile. We have developed a high resolution DUV bilayer resist system based on these monomers. Although most of our work was concentrated on 248 nm lithography, we have demonstrated that this chemistry can be extended to 193 nm applications.


Advances in Resist Technology and Processing XII | 1995

Characterization and modeling of a chemically amplified resist for ArF lithography

John M. Hutchinson; Gregory M. Wallraff; William D. Hinsberg; Juliann Opitz; William G. Oldham

There is increasing interest in chemically amplified (CA) single-layer photoresist for 193 nm excimer laser lithography as a route to sub-quarter micron imaging. A quantitative understanding of the factors that limit the ultimate resolution of CA resists requires a detailed knowledge of both the kinetics of the acid-catalyzed chemical reaction and the diffusion properties of the photogenerated acid. Information of this type is key to the accurate modeling of all CA resists regardless of exposure wavelength. We have investigated the exposure, thermal processing and dissolution behavior of a methacrylate terpolymer-based 193 nm resist. The chemical reactions occurring during post-exposure bake were monitored by FTIR microscopy over a range of PEB temperatures and exposure doses. Using the FTIR data and dissolution contrast curves, parameters for a model of the exposure, the post-exposure bake and the development were extracted. The model was implemented in the SAMPLE lithography simulation tool to predict resist profiles and process latitudes of methacrylate resists on a 193 nm step and scan tool. Excellent agreement between the simulated photoresist profiles and SEM cross-sections was obtained.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Design and development of high-performance 193-nm positive resist based on functionalized poly(cyclicolefins)

Pushkara Rao Varanasi; J. Maniscalco; Ann Marie Mewherter; Margaret C. Lawson; George M. Jordhamo; Robert D. Allen; Juliann Opitz; Hiroshi Ito; Thomas I. Wallow; Donald C. Hofer; Leah J. Langsdorf; Saikumar Jayaraman; Richard Vicari

One of the major factors that seem to limit the development of practically useful 193nm resist materials has been their low reactive-ion-etch (RIE) resistance. In this paper, we have shown convincingly that the RIE stability of poly(cyclicolefins) is superior to that of the alternating copolymers such as poly(norbornene-anhydride), and poly(acrylates). We have also shown that a high performance 193nm resist can be developed from functionalized poly(norbornenes) using appropriate formulation and process optimizations.


23rd Annual International Symposium on Microlithography | 1998

Design of an etch-resistant cyclic olefin photoresist

Robert D. Allen; Juliann Opitz; Thomas I. Wallow; Richard A. Di Pietro; Donald C. Hofer; Saikumar Jayaraman; Karen A. Hullihan; Larry F. Rhodes; Brian L. Goodall; Robert A. Shick

In the quest for a high performance 193 nm photoresist with robust plasma etching resistance equivalent to or better than the DUV resists of today, we have focused on the use of cyclic olefin polymers. In this paper, we will discuss monomer synthesis, polymerization approaches, polymer properties and early lithographic results of 193 nm photoresists formulated from cyclic olefin polymeric materials made from a metal-catalyzed addition polymerization process. The goal of this work is to produce a 193 nm photoresist with excellent imaging performance and etch resistance exceeding DUV resists, and in fact approaching novolak-based photoresists.


Advances in resist technology and processing. Conference | 1997

Role of photoacid structure on the performance of 193-nm resists

Robert D. Allen; Juliann Opitz; Carl E. Larson; Richard A. Di Pietro; Gregory Breyta; Donald C. Hofer

The impact of photoacid generator (PAG) structure has been largely ignored for 193 nm single layer resists. Most published work to date has involved the use of triflic or metallic (antimonate or arsenate) photoacids. Many PAGs used in DUV (248 nm) resists are inefficient when formulated with (non-phenolic) polymers used in 193-nm resists, presumably due to the lack of electron transfer sensitization. In this paper, we document the negative consequences of triflic acid on 193- nm resist performance, including data on acid volatility and the impact of apparent diffusion. Acid generators which combine high reactivity, low photoacid volatility, and improved resolution are described.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Approaches to etch-resistant 193-nm photoresists: performance and prospects

Robert D. Allen; Juliann Opitz; Hiroshi Ito; Thomas I. Wallow; Daniel V. Casmier; Richard A. Di Pietro; Phillip J. Brock; Gregory Breyta; Ratnam Sooriyakumaran; Carl E. Larson; Donald C. Hofer; Pushkara Rao Varanasi; Ann Marie Mewherter; Saikumar Jayaraman; Richard Vicari; Larry F. Rhodes; Shenliang Sun

We have investigated three substantially different routes to 193nm single layer resists. This paper will attempt to shed light on the strengths and weaknesses of each approach. Design principles, polymer synthesis and properties, and resist properties will be discussed for the three main branches of 193nm resists.

Researchain Logo
Decentralizing Knowledge