Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Junichi Onodera is active.

Publication


Featured researches published by Junichi Onodera.


Journal of Vacuum Science & Technology B | 2005

Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance

Atsuko Yamaguchi; Hiroshi Fukuda; Tadashi Arai; Jiro Yamamoto; Taku Hirayama; Daiju Shiono; Hideo Hada; Junichi Onodera

Resists using polyphenol resin are introduced to reduce line-edge roughness (LER), and the spatial frequency characteristics of LER are evaluated. It is found that the long-period components of LER are suppressed in our low molecular-weight polyphenol resists. Device simulation using the measured LER shows that our polyphenol-based resist can drastically reduce the number of low-threshold-voltage (Vth) transistors compared with a conventional resist due to reduced long-period LER. Because LER impact is more serious as the transistor width shrinks, our results suggest that the use of the polyphenol-type resist will be more effective in improving device performance in future lithography process. In addition, it is shown that spectral analysis is a powerful tool for LER evaluation, especially from the viewpoint of device performance estimation.


Applied Physics Express | 2008

Enhancement of Acid Production in Chemically Amplified Resist for Extreme Ultraviolet Lithography

Hiroki Yamamoto; Takahiro Kozawa; Seiichi Tagawa; Hiroto Yukawa; Mitsuru Sato; Junichi Onodera

The trade-off among sensitivity, resolution, and line edge roughness (LER) is the most serious problem for the realization of extreme ultraviolet (EUV) lithography. A solution to this problem is the enhancement of acid generation efficiency per unit volume. In chemically amplified EUV resists, not the acid generators but the polymer mainly absorbs EUV photons. The secondary electrons generated by EUV absorption sensitize the acid generators. Therefore, an increase in the polymer absorption coefficient is expected to lead to the enhancement of acid production. The incorporation of fluorine atoms is a promising way for the increase in the absorption coefficient of EUV resists. However, fluorinated compounds decrease the acid generation efficiency by interfering with the reaction of acid generators with low-energy electrons. We investigated which effect prevails in acid generation. Using a spectroscopic method, it was confirmed that the incorporation of fluorine atoms leads to an increase in acid generation efficiency per unit volume.


Japanese Journal of Applied Physics | 2009

Studies of the Photo Acid Generator Material Design for Chemically Amplified Photoresists

Yoshiyuki Utsumi; Takehiro Seshimo; Yoshitaka Komuro; Akiya Kawaue; Keita Ishiduka; Kensuke Matsuzawa; Hideo Hada; Junichi Onodera

In current optical lithography, resolution is required to reach for 45 nm half-pitch and a chemically amplified resist (CAR) is used for a wide variety of applications. For ArF lithography beyond the 45 nm half-pitch, it is important to control pattern quality. The molecular design of a photo acid generator (PAG) is very important in the study to control not only acid strength but also acid diffusion length. Various novel PAGs that have different characteristics were synthesized for resist performance improvement. Acid molecular size was determined by molecular orbital (MO) calculation, and the acid diffusion coefficients (D) of these PAGs were evaluated by a bilayer method. As a result, it was found that acid diffusion coefficient (D) could not be controlled simply by adjusting anion molecular size. It may be presumed that the molecular interaction between acid generated by the exposure and polymer matrix areas is one of the most important key factors for controlling acid diffusion.


Applied Physics Express | 2008

X-ray Reflectivity Study on Depth Profile of Acid Generator Distribution in Chemically Amplified Resists

Takehiro Fukuyama; Takahiro Kozawa; Seiichi Tagawa; Ryoichi Takasu; Hiroto Yukawa; Mitsuru Sato; Junichi Onodera; Ichiro Hirosawa; Tomoyuki Koganesawa; Kazuyuki Horie

The depth profile of acid generator distribution is investigated using X-ray reflectivity measurement at Spring-8. The depth profile in tBu-PHS thin resist films is made clear.


Proceedings of SPIE | 2008

Chemically amplified molecular resist based on fullerene derivative for nanolithography

Hiroki Yamamoto; Takahiro Kozawa; Seiichi Tagawa; Tomoyuki Ando; Katsumi Ohmori; Mitsuru Sato; Junichi Onodera

We developed a chemically amplified molecular resist based on a fullerene derivative and evaluated the lithographic performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives as a positive-type EB resist with high resolution and high sensitivity properties. The etching rate of fullerene derivative is almost similar to that of ZEP and UVIII. Also, the fullerene derivative resist containing 6 wt% acid generator shows a sensitivity of 33 &mgr;C/cm2 when it was exposed to 75 keV electron beam and postbaked at 170 °C. Although it required a dose of 800 &mgr;C/cm2, a fullerene derivative film yielded line resolution of better than 30 nm. Moreover, the effect of the types of acid generators to the resist performance of fullerene derivatives was investigated. It is very important for a fullerene derivative resist to select appropriate acid generator and process conditions. Fullerene derivative resists are a promising candidate for nanolithography.


Japanese Journal of Applied Physics | 2009

Decomposition Analysis of Chemically Amplified Resists for Improving Critical Dimension Control

Daiju Shiono; Hideo Hada; Taku Hirayama; Junichi Onodera; Takeo Watanabe; Hiroo Kinoshita

We have designed and synthesized a molecular resist material, which has only two protecting groups per molecule (prot-mad-2). Resists with this material can resolve a sub-30-nm half pitch (hp) pattern. We quantitatively analyzed a decomposition reaction using prot-mad-2 at the exposed and unexposed areas by taking advantage of its properties of high purity and simple structure. From the high performance liquid chromatography (HPLC) results, it was found that the main decomposition reaction was deprotection of prot-mad-2. The ratio of partly deprotected material (deprot-1-prot-mad-1) and fully deprotected material (deprot-2) increased with exposure dose. It was found that the exposure dose resulting in maximum surface roughness coincided with the exposure dose where fully protected, partly deprotected, and fully deprotected materials were present in equal quantities in the resist film. Furthermore, dissolution rates of prot-mad-2, deprot-1-prot-mad-1 and deprot-2 were completely different. It is considered that high surface roughness was generated by the different dissolution rates of prot-mad-2, deprot-1-prot-mad-1 and deprot-2. The results suggest that reducing the range of dissolution rates at the boundary between exposed and unexposed regions is key to improving line edge roughness (LER).


international microprocesses and nanotechnology conference | 2004

Development of amorphous polyphenol resists with low molecular weight and narrow dispersion for EB lithography

Taku Hirayama; Daiju Shiono; S. Matsumaru; T. Ogata; Hideo Hada; Junichi Onodera; Tadashi Arai; T. Sakamizu; Atsuko Yamaguchi; H. Shirai; Hiroshi Fukuda; M. Ueda

Minimum feature size in LSI circuit geometry will reach 20 nm in the coming 45 nm technology node. In order to achieve this, various new lithography technologies, such as EB and EUV lithography, are now being intensively developed. Furthermore, accuracy to within 10% of the feature size is required to guarantee the performance of LSI systems. In many cases, this requires controlling feature size with accuracy of one molecule in circuit geometry with sizes corresponding to ten molecules. The aim of this study is to develop a new resist material platform, which achieves this molecular level accuracy with high productivity, We confirmed the effects of suppressing material dispersion by using amorphous low molecular weight polyphenols as resist resin.[] -61 To investigate the possibility of amorphous low molecular weight polyphenols as a chemically amplified positive-tone electron-beam (EB) resist, two low molecular weight polyphenols, 4,4‘-methylenebis[2-[di(2-methyl-4-hydroxy-5-cyclohexylphenyl)]methyl] phenol (3M6C-MBSA), and 4,4’-methylenebis[2-[di(4-hydroxy-2,5-dimethylphenyl)~methyl]phen~l (25X-MBSA), and poly@-hydroxystyrene) (PHS) as a control with an average molecular weight of 8400 were prepared. The phenol groups were protected by 1-ethoxyethyl groups to control the dissolution rate of these materials in 0.26 N tetramethylammonium hydroxide (TMAH) aq. developer. The structures for polyphenols and PHS protected by l-ethoxyethyl (EE) groups are shown in Figure 1. As shown in Table 1 , three model resists based on 3M6C-MBSA, 25X-MBSA and PHS were prepared for the lithographic evaluation with EB exposure. EB imaging evaluation of resists A, B and C with I50 nm film thickness was performed. The results are shown in Figure 2. Resist A indicated an excellent pattern profile and resolution of 60 nm lines and spaces (lines/spaces=l/l). Furthermore, 50 nm lines and spaces pattern were partially resolved. The surface roughness of resist A and C following EB exposure at various doses and development were measured by AFM. The increase in roughness is apparent as the dose increases from 10 to 20 pCcm*’ and 10 to 13 pCcnf2 for resist A and C respectively. (Figure 3) Although the shapes of the curves are similar to one another, resist C showed higher roughness maximum value than that of resist A. Figure 4 shows the AFM images of the film surfaces of resists A and C at the doses which indicated the maximum roughness. Larger grain size was observed in Figure 4(b) as compared to Figure 4(a). We therefore conclude that surface roughness could be suppressed by low molecular weight and narrow polydispersity. We also expect that these low molecular weight materials will indicate lower LER as compared to typical polymers. ’


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Molecular resists based on cholate derivatives for electron-beam lithography

Daiju Shiono; Taku Hirayama; Hideo Hada; Junichi Onodera; Tadashi Arai; Atsuko Yamaguchi; Kyoko Kojima; Hiroshi Shiraishi; Hiroshi Fukuda

We have reported the characteristics and LER properties of molecular resists based on low molecular weight polyphenols as a chemically amplified (CA) positive-tone EB resist (Hirayama et al., 2004; Hirayama et al., 2005). In this paper, new molecular resist based on cholate derivatives for EB lithography was reported.


Japanese Journal of Applied Physics | 2009

Effect of Molecular Structure on Depth Profile of Acid Generator Distribution in Chemically Amplified Resist Films

Takehiro Fukuyama; Takahiro Kozawa; Kazumasa Okamoto; Seiichi Tagawa; Makiko Irie; Takeyoshi Mimura; Takeshi Iwai; Junichi Onodera; Ichiro Hirosawa; Tomoyuki Koganesawa; Kazuyuki Horie

The acid generator distribution in resist films is an important issue for fine patterning based on chemical amplification. In particular, extreme ultraviolet (EUV) resists require a high acid generator concentration compared with conventional chemically amplified photoresists. In this study, the depth density profiles in partially protected poly(4-hydroxystyrene) films containing dispersed triphenylsulfonium salts were investigated by X-ray reflectivity measurements to clarify the depth profile of acid generator distribution. It was found that the depth profile depends on the molecular structure of acid generators. The hydrogen bonding between polymers and acid generators affected the depth profile of acid generator distribution.


Japanese Journal of Applied Physics | 2009

Reactivity of Halogenated Resist Polymer with Low-Energy Electrons

Hiroki Yamamoto; Takahiro Kozawa; Akinori Saeki; Seiichi Tagawa; Takeyoshi Mimura; Hiroto Yukawa; Junichi Onodera

In chemically amplified resists, secondary electrons are generated upon exposure to ionizing radiations, such as extreme ultraviolet radiation and electron beam. Acid generators are decomposed mainly through their reaction with these secondary electrons after they lose their energy sufficiently. Therefore, the reaction of polymers with low-energy electrons significantly affects acid generation processes. In this study, the authors evaluated the reactivity of halogenated polymers with low-energy electrons using brominated poly(4-hydroxystyrene) and investigated the relationship between reactivity and acid yield. It was found that the decomposition of the radical anions of polymers is suppressed by >20% owing to the delocalization of excess electrons on the π-orbital of an aromatic ring.

Collaboration


Dive into the Junichi Onodera's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Mitsuru Sato

Gunma Prefectural College of Health Sciences

View shared research outputs
Researchain Logo
Decentralizing Knowledge