Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daiju Shiono is active.

Publication


Featured researches published by Daiju Shiono.


Journal of Vacuum Science & Technology B | 2005

Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance

Atsuko Yamaguchi; Hiroshi Fukuda; Tadashi Arai; Jiro Yamamoto; Taku Hirayama; Daiju Shiono; Hideo Hada; Junichi Onodera

Resists using polyphenol resin are introduced to reduce line-edge roughness (LER), and the spatial frequency characteristics of LER are evaluated. It is found that the long-period components of LER are suppressed in our low molecular-weight polyphenol resists. Device simulation using the measured LER shows that our polyphenol-based resist can drastically reduce the number of low-threshold-voltage (Vth) transistors compared with a conventional resist due to reduced long-period LER. Because LER impact is more serious as the transistor width shrinks, our results suggest that the use of the polyphenol-type resist will be more effective in improving device performance in future lithography process. In addition, it is shown that spectral analysis is a powerful tool for LER evaluation, especially from the viewpoint of device performance estimation.


Proceedings of SPIE | 2007

Distribution control of protecting groups and its effect on LER for EUV molecular resist

Daiju Shiono; Hideo Hada; Hiroto Yukawa; Hiroaki Oizumi; Iwao Nishiyama; Kyoko Kojima; Hiroshi Fukuda

We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving Line Edge Roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.


Japanese Journal of Applied Physics | 2009

Decomposition Analysis of Chemically Amplified Resists for Improving Critical Dimension Control

Daiju Shiono; Hideo Hada; Taku Hirayama; Junichi Onodera; Takeo Watanabe; Hiroo Kinoshita

We have designed and synthesized a molecular resist material, which has only two protecting groups per molecule (prot-mad-2). Resists with this material can resolve a sub-30-nm half pitch (hp) pattern. We quantitatively analyzed a decomposition reaction using prot-mad-2 at the exposed and unexposed areas by taking advantage of its properties of high purity and simple structure. From the high performance liquid chromatography (HPLC) results, it was found that the main decomposition reaction was deprotection of prot-mad-2. The ratio of partly deprotected material (deprot-1-prot-mad-1) and fully deprotected material (deprot-2) increased with exposure dose. It was found that the exposure dose resulting in maximum surface roughness coincided with the exposure dose where fully protected, partly deprotected, and fully deprotected materials were present in equal quantities in the resist film. Furthermore, dissolution rates of prot-mad-2, deprot-1-prot-mad-1 and deprot-2 were completely different. It is considered that high surface roughness was generated by the different dissolution rates of prot-mad-2, deprot-1-prot-mad-1 and deprot-2. The results suggest that reducing the range of dissolution rates at the boundary between exposed and unexposed regions is key to improving line edge roughness (LER).


international microprocesses and nanotechnology conference | 2004

Development of amorphous polyphenol resists with low molecular weight and narrow dispersion for EB lithography

Taku Hirayama; Daiju Shiono; S. Matsumaru; T. Ogata; Hideo Hada; Junichi Onodera; Tadashi Arai; T. Sakamizu; Atsuko Yamaguchi; H. Shirai; Hiroshi Fukuda; M. Ueda

Minimum feature size in LSI circuit geometry will reach 20 nm in the coming 45 nm technology node. In order to achieve this, various new lithography technologies, such as EB and EUV lithography, are now being intensively developed. Furthermore, accuracy to within 10% of the feature size is required to guarantee the performance of LSI systems. In many cases, this requires controlling feature size with accuracy of one molecule in circuit geometry with sizes corresponding to ten molecules. The aim of this study is to develop a new resist material platform, which achieves this molecular level accuracy with high productivity, We confirmed the effects of suppressing material dispersion by using amorphous low molecular weight polyphenols as resist resin.[] -61 To investigate the possibility of amorphous low molecular weight polyphenols as a chemically amplified positive-tone electron-beam (EB) resist, two low molecular weight polyphenols, 4,4‘-methylenebis[2-[di(2-methyl-4-hydroxy-5-cyclohexylphenyl)]methyl] phenol (3M6C-MBSA), and 4,4’-methylenebis[2-[di(4-hydroxy-2,5-dimethylphenyl)~methyl]phen~l (25X-MBSA), and poly@-hydroxystyrene) (PHS) as a control with an average molecular weight of 8400 were prepared. The phenol groups were protected by 1-ethoxyethyl groups to control the dissolution rate of these materials in 0.26 N tetramethylammonium hydroxide (TMAH) aq. developer. The structures for polyphenols and PHS protected by l-ethoxyethyl (EE) groups are shown in Figure 1. As shown in Table 1 , three model resists based on 3M6C-MBSA, 25X-MBSA and PHS were prepared for the lithographic evaluation with EB exposure. EB imaging evaluation of resists A, B and C with I50 nm film thickness was performed. The results are shown in Figure 2. Resist A indicated an excellent pattern profile and resolution of 60 nm lines and spaces (lines/spaces=l/l). Furthermore, 50 nm lines and spaces pattern were partially resolved. The surface roughness of resist A and C following EB exposure at various doses and development were measured by AFM. The increase in roughness is apparent as the dose increases from 10 to 20 pCcm*’ and 10 to 13 pCcnf2 for resist A and C respectively. (Figure 3) Although the shapes of the curves are similar to one another, resist C showed higher roughness maximum value than that of resist A. Figure 4 shows the AFM images of the film surfaces of resists A and C at the doses which indicated the maximum roughness. Larger grain size was observed in Figure 4(b) as compared to Figure 4(a). We therefore conclude that surface roughness could be suppressed by low molecular weight and narrow polydispersity. We also expect that these low molecular weight materials will indicate lower LER as compared to typical polymers. ’


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Molecular resists based on cholate derivatives for electron-beam lithography

Daiju Shiono; Taku Hirayama; Hideo Hada; Junichi Onodera; Tadashi Arai; Atsuko Yamaguchi; Kyoko Kojima; Hiroshi Shiraishi; Hiroshi Fukuda

We have reported the characteristics and LER properties of molecular resists based on low molecular weight polyphenols as a chemically amplified (CA) positive-tone EB resist (Hirayama et al., 2004; Hirayama et al., 2005). In this paper, new molecular resist based on cholate derivatives for EB lithography was reported.


Japanese Journal of Applied Physics | 2010

Decomposition and Roughness Analysis of Chemically Amplified Molecular Resist for Reducing Line Width Roughness

Daiju Shiono; Hideo Hada; Kazufumi Sato; Yasuyuki Fukushima; Takeo Watanabe; Hiroo Kinoshita

A molecular resist material, which includes only one protecting group per molecule was designed and synthesized as Prot-1. After confirming the structure and purity of Prot-1, resist A formulated with Prot-1 as a base material was prepared. Resist A showed a good contrast curve. To confirm the decomposition behavior by an electron beam (EB) exposure, resist A was analyzed using high performance liquid chromatography (HPLC). From the HPLC analysis, it was found that the dissolution switching of resist A due to EB exposure was caused by a deprotection reaction of Prot-1. We evaluated the resolution and line edge roughness (LER) of resist A using EB lithography. Resist A showed 25 nm half pitch (hp) resolution and a partially resolved 20 nm hp at an exposure dose of 36 µC/cm2 using an EB writing system with an acceleration voltage (V) of 50 kV. The LER of resist A was 3.8 nm (3σ) for a 100-nm-hp line-and-space pattern, which is similar to a ZEP520A non chemically amplified resist. As a result, we confirmed that a uniform deprotection reaction of just one deprotection group of resist A in the exposed area improved LER and resolution.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Characteristics of low Ea 193-nm chemical amplification resists

Toshiyuki Ogata; Yohei Kinoshita; Sanae Furuya; Shogo Matsumaru; Motoki Takahashi; Daiju Shiono; Takahiro Dazai; Hideo Hada; Masamitsu Shirai

Polymers with methyl acetal ester moiety in the side chain as acid labile protecting group were synthesized and their thermal property, plasma stability and chemical amplification (CA) positive-tone resist characteristics were investigated. 2-Admantyloxymethyl (AdOM) groups in the copolymer indicated lower glass transition temperatures and higher thermal decomposition temperatures than those of 2-methyl-2-admantyl (MAd) groups in the copolymer. AdOM polymer film showed smooth surface roughness after Ar plasma exposure compared with MAd polymer film due to the high thermal stability. The activation energies (Ea) of these deprotection reactions were calculated from Arrhenius plots of these deprotection reaction rate constants. In the low post exposure bake (PEB) temperature region, the Ea of these resists decreased in the order MAd > AdOM. The low Ea methyl acetal resists displayed good thermal flow resist characteristics for contact holes printing. In addition, the low Ea methyl acetal resist achieved a wide exposure latitude of 8.1 % and depth of focus of 400 nm for printing 80 nm 1:1 dense line pattern using NSR-306C (NA 0.78, 2/3 annular). Furthermore, the 65 nm 1:1 dense lines using ASML XT1400 (NA 0.93, C-Quad) for low Ea methyl acetal resist pattern showed no tapered and no footing profiles and small roughness on the lines pattern sidewall was observed.


Proceedings of SPIE | 2009

Decomposition analysis of molecular resists to further CD control

Daiju Shiono; Hideo Hada; Taku Hirayama; Junichi Onodera; Takeo Watanabe; Hiroo Kinoshita

We have designed and synthesized molecular resist material, which has just only two part protecting groups in one molecule (Prot-Mad-2). The resist can resolve below 30 nm hp pattern. We analyzed decomposition reaction using Prot-Mad-2 at the un-exposed and exposed area quantitatively by taking advantage of its property of high purity and simple structure. From the HPLC results, it was found that main decomposition reaction was deprotection of Prot-Mad-2. The ratio of one part de-protected material (Deprot-1-prot-Mad-1) and fully de-protected material (Deprot-2) changed with exposure dose. It was found that exposure dose of surface roughness maximum coincided with the exposure dose where materials of two-part protection, one part de-protection and fully de-protection existed equally in the resist film. Furthermore, dissolution rates of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2 were totally different. It is considered that surface roughness was generated by different dissolution rates in the presence of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2. Our result suggests that reducing a variety of dissolution rates at exposed and un-exposed boundary is a key to improve line edge roughness (LER).


Spie Newsroom | 2014

Synchrotron radiation absorption spectroscopy to enhance photoresists

Takeo Watanabe; Kazuya Emura; Yuichi Haruyama; Tetsuo Harada; Yasuji Muramatsu; Hiroo Kinoshita; Daiju Shiono; Katsumi Ohmori; Kazufumi Sato

Extreme UV lithography (EUVL) offers a promising solution for high-volume manufacturing of semiconductors with 16nm-technology nodes.1 However, the method requires an EUV source with high power and stability, such as laser-produced plasma. Currently, EUV source power is lower than the specification for high-volume manufacturing, with the largest power source of 55W at the intermediate focus position enabling wafer throughput of approximately 40 wafers per hour. To achieve throughput of 120 wafers/hour using an EUV photoresist with sensitivity 10mJ/cm2, the source power would need to be 180W/cm2. For an increased resist sensitivity of 5mJ/cm2, the source power required would be 90W/cm2. Therefore, increasing the resist sensitivity reduces the required EUV source power. Previous research has sought to increase this sensitivity, but much of it is based on studies using electron beams rather than EUV. Resists that have been chemically amplified2–4 have high sensitivity and resolution. Therefore, we considered exposing to EUV a resist incorporating a photo acid generator (PAG) which is a compound that, when exposed to light, produces acid that reacts with the polymer to amplify the resist. We used soft x-ray absorption spectroscopy to measure and analyze atomic behavior in the resist material. To study the reaction of the PAG using soft x-ray absorption spectroscopy for the common resist materials carbon, oxygen, nitrogen, and fluorine, requires a highly brilliant light source, such as synchrotron radiation (SR). Figure 1. Configuration of the total electron yield method in soft x-ray absorption spectroscopy. The resultant photocurrent and chemical reaction in the photoresist can be measured with a microammeter. SR: Synchrotron radiation. NewSUBARU BL-7B: Synchrotron light facility. A: Output photocurrent. Au Mesh: Gold mesh and sample.


Proceedings of SPIE | 2013

Perpendicular orientation of block-co-polymer on controlled neutralization layer

Daiju Shiono; Tsuyoshi Kurosawa; Kenichiro Miyashita; Tasuku Matsumiya; Ken Miyagi; Katsumi Ohmori

We have prepared and analyzed neutralization layer material to perform perpendicular morphology of Poly (styrene-block-methyl methacrylate) (PS-b-PMMA) as Block-Co-Polymers (BCPs). Neutralization layer surface property is optimized by changing hydrophilicity. We have evaluated two types of neutralization layer material. First one is graft type polymer which makes chemical bonding to substrate. The other is crosslink type polymer which becomes insoluble to organic solvent by thermal crosslink reaction. We checked neutralization function by changing film thickness of the neutralization layer under PS-b-PMMA. Regarding to graft type, it was found that when the film thickness of neutralization layer is over 2.3 nm, PS-b-PMMA forms perpendicular morphology on appropriate neutralization layer. Similarly, regarding to crosslink type, it was found that when the film thickness of neutralization layer is over 1.9 nm, PS-b-PMMA forms perpendicular morphology on appropriate neutralization layer. Finally, we will show lamella and cylinder patterns changing L0 of PS-b-PMMA on neutralization layer.

Collaboration


Dive into the Daiju Shiono's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tasuku Matsumiya

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Katsumi Ohmori

Tokyo Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge