Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kai Kolari is active.

Publication


Featured researches published by Kai Kolari.


Journal of Micromechanics and Microengineering | 2008

Deep plasma etching of glass for fluidic devices with different mask materials

Kai Kolari; Ville Saarela; Sami Franssila

Deep reactive ion etching (DRIE) processes have been developed for fabricating fluidic devices in glass (Pyrex™ and fused silica) substrates with cavities deeper than 100 µm. By using a standard silicon wafer as the etch mask, patterned by silicon DRIE, very deep and nearly vertical structures can be etched into the glass. In this work, an atmospheric pressure chemical ionization chip was fabricated. Also the results show potential for satisfactory etch characteristics with Ni, amorphous silicon (a-Si) and SU-8 as mask materials.


IEEE\/ASME Journal of Microelectromechanical Systems | 2006

A Microfabricated Nebulizer for Liquid Vaporization in Chemical Analysis

Sami Franssila; Seppo Marttila; Kai Kolari; Pekka Östman; Tapio Kotiaho; Risto Kostiainen; Reijo Lehtiniemi; Carl-Magnus Fager; Jorma Manninen

A miniaturized nebulizer chip for vaporization of liquid samples for mass spectrometry has been designed, fabricated, and characterized for fluidic and thermal performance. Silicon/glass chip has a liquid sample channel placed centrally between symmetric nebulizer gas channels. The liquid sample is nebulized and vaporised by an integrated platinum heater. The vaporized sample exits through an etched nozzle, and is ionized by an external corona needle. The ions are analysed by a mass spectrometer. The chip has been fabricated in both anisotropically wet etched and DRIE versions in silicon, with an anodically bonded Pyrex glass cover plate. Three different fluidic inlet designs are presented, with both through-wafer and edge insert versions. The shape of the erupting gas jet has been visualized by infrared thermography by using a low-diffusivity imaging screen and high heat capacity helium as a test gas. Dimensions of the jets thermal footprint on the screen show that the jet is very narrow and confined, and this is confirmed in mass spectrometry results. This confined jet supplies the sample to the ionization region near corona tip, enabling efficient use of very small sample amounts and submicroliter flows.1591


Journal of Lightwave Technology | 2005

Dry-etched silicon-on-insulator waveguides with low propagation and fiber-coupling losses

Kimmo Solehmainen; Timo Aalto; James Dekker; Markku Kapulainen; Mikko Harjanne; Kaupo Kukli; Päivi Heimala; Kai Kolari; Markku Leskelä

Optical rib waveguides with various widths and heights were fabricated on silicon-on-insulator (SOI) substrates. Silicon etching was based on dry etching with inductively coupled plasma (ICP)-type reactive ion etcher. The etching process was developed to ensure low optical losses. Propagation loss of 0.13/spl plusmn/0.02 dB/cm was measured for the fundamental mode at the wavelength of 1550 nm in a curved 114-cm-long waveguide. The reflection losses were suppressed by applying atomic layer deposition (ALD) in the growth of antireflection coatings (ARCs).


Handbook of Silicon Based MEMS Materials and Technologies (Second Edition) | 2010

Deep Reactive Ion Etching

Franz Laermer; Sami Franssila; Lauri Sainiemi; Kai Kolari

This chapter discusses the deep reactive ion etching in detail. Reactive Ion Etching (RIE), also known as plasma etching or dry etching, and its extension deep reactive ion etching (DRIE) are processes that combine physical and chemicals effect to remove material from the wafer surface. Etchant phase, gas or liquid, has been used as a dividing factor: wet etching in liquids vs. dry etching in gaseous environment, usually in vacuum. Silicon and its compounds can be etched in fluorine, chlorine or bromine plasmas. Oxygen is an active ingredient in many etching processes. Ion beam etching relies on energetic argon ions and differs thus from RIE. The division between RIE and DRIE can be made according to etch rate, selectivity, aspect ratio capability or reactor type. The main etching mechanism of the masking material is typically physical sputtering. Therefore, the masking material is etched relatively fast in this kind of RIE equipment. The parameters available for DRIE process optimization include etchant gases, flow rate of the chosen gases, RF-power, bias voltage, process pressure and temperature. Both Bosch and cryo-processes use purely fluorine-based plasma chemistry, since fluorine-based etching processes for silicon offer superior etch rates and high mask selectivities. The fastest and the simplest way to create patterns is to use photoresist as an etch mask. Silica and glass also overcome many limitations of polymers because of their low auto-fluorescence, mechanical wear resistance, reusability, and smooth surfaces. Clamping can be realized with two different methods, mechanically or electrostatically. Micromasking in glass etching is similar to silicon etching: small particles of mask material sputter off and land on areas to be etched. Polymers can be etched by oxygen plasmas using silicon, metal and oxide masks. The notching effect is seen when high-density plasma etching reaches an insulator surface.


Journal of Vacuum Science and Technology | 2006

Tunable hydrophilicity on a hydrophobic fluorocarbon polymer coating on silicon

Kai Kolari; Ari Hokkanen

An efficient, economic, reliable, and repeatable patterning procedure of hydrophobic surfaces was developed. A fluorocarbon polymer derived from the C4F8 gas in an inductively coupled plasma etcher was used as the hydrophobic coating. For a subsequent patterning of hydrophilic apertures on the polymer, a short O2 plasma exposure through a silicon shadow mask was utilized. The overall hydrophilicity of the patterned surface can be tuned by the duration of the O2 plasma exposure, and also by the density and the size of the hydrophilic apertures. The laborious photolithography and tricky lift-off procedures are avoided. Optimization of the whole patterning process is explained thoroughly and supported with experimental data. The hydrophilic adhesion of the patterned polymer was evaluated with aqueous droplets, which were studied on matrices of the hydrophilic apertures of different sizes. The deposition parameters of the fluorocarbon polymer, the size of the droplet required to enable rolling on the patterne...


Biomedical spectroscopy and imaging | 2011

Design and process development of a photonic crystal polymer biosensor for point-of-care diagnostics

Fabian Dortu; Holger Egger; Kai Kolari; T. Haatainen; P. Fürjes; Z. Fekete; Damien Bernier; Graham J. Sharp; Basudev Lahiri; Sándor Kurunczi; J.-C. Sanchez; N. Turck; P. Petrik; D. Patko; Robert Horvath; S. Eiden; Timo Aalto; S. Watts; Nigel P. Johnson; R.M. De La Rue; Domenico Giannone

In this work, we report advances in the fabrication and anticipated performance of a polymer biosensor photonic chip developed in the European Union project P3SENS (FP7-ICT4-248304). Due to the low cost requirements of point-ofcare applications, the photonic chip is fabricated from nanocomposite polymeric materials, using highly scalable nanoimprint- lithography (NIL). A suitable microfluidic structure transporting the analyte solutions to the sensor area is also fabricated in polymer and adequately bonded to the photonic chip. We first discuss the design and the simulated performance of a high-Q resonant cavity photonic crystal sensor made of a high refractive index polyimide core waveguide on a low index polymer cladding. We then report the advances in doped and undoped polymer thin film processing and characterization for fabricating the photonic sensor chip. Finally the development of the microfluidic chip is presented in details, including the characterisation of the fluidic behaviour, the technological and material aspects of the 3D polymer structuring and the stable adhesion strategies for bonding the fluidic and the photonic chips, with regards to the constraints imposed by the bioreceptors supposedly already present on the sensors.


Proceedings of SPIE | 2005

Self-feeding microfluidic structures on silicon and glass

Kai Kolari; Ari Hokkanen; Ingmar Stuns

Several microfluidic platforms incorporating cavities and channels have been designed and fabricated in silicon and fused silica. C4F8 and SF6 plasmas are used to etch reproducibly 400 μm features in silicon and 150 μm in fused silica. Hydrophilic surface characteristics allow capillary action without external pumping or electro-osmosis. Filling of poled cavities can be triggered by increasing temperature i.e. by tuning hydrophobicity of a channel. The pole structure can also be used for sieving particles of different size or elasticity. In this work, agarose beads trapped by poles were used for solid phase extraction. By covering the microfluidic features, filling is also achieved by cooling the substrate. Filling velocities of aqueous solutions have been observed to depend strongly on liquid composition, but also final treatment and roughness of silicon or silica surface. Mixing of two aqueous solutions can also be triggered by increasing temperature. Cavities with pre-immobilised substance can be filled simultaneously or, if necessary, sequentially. Various non-leaking 3D channel networks can be constructed by gluing, fusion or anodic bonding of many silicon or glass wafers including via holes. Integrating of electrical circuits for both silicon and silica is possible by standard IC technology.


Physica Scripta | 2010

Smoothing of microfabricated silicon features by thermal annealing in reducing or inert atmospheres

Kai Kolari; Tapani Vehmas; Olli Svensk; Pekka Törmä; Timo Aalto

In this work, high-temperature annealing of reactive ion etched silicon microstructures in H2 and Ar gases is studied. Three types of structural features were etched with four different masks into 100-oriented silicon wafers. Scanning electron microscope and atomic force microscope (AFM) results show that when smoothing due to surface diffusion and desorption of silicon is taking place in both the argon and hydrogen gas environments, the three types of features respond differently to the treatments. The surface diffusion was observed to be strongly dependent on temperature in argon, whereas the transport was more linear and controllable in the hydrogen gas environment. For hydrogen, AFM studies were performed to observe the details of the smoothing process. Finally, some potential applications of these transport phenomena are discussed.


Proceedings of SPIE | 2012

NIL fabrication of a polymer-based photonic sensor device in P3SENS project

Domenico Giannone; Fabian Dortu; Damien Bernier; Nigel P. Johnson; Graham J. Sharp; Lianping Hou; Ali Z. Khokhar; P. Fürjes; Sándor Kurunczi; P. Petrik; Robert Horvath; Timo Aalto; Kai Kolari; Sami Ylinen; Tomi Haatainen; Holger Egger

We present the most recent results of EU funded project P3SENS (FP7-ICT-2009.3.8) aimed at the development of a low-cost and medium sensitivity polymer based photonic biosensor for point of care applications in proteomics. The fabrication of the polymer photonic chip (biosensor) using thermal nanoimprint lithography (NIL) is described. This technique offers the potential for very large production at reduced cost. However several technical challenges arise due to the properties of the used materials. We believe that, once the NIL technique has been optimised to the specific materials, it could be even transferred to a kind of roll-to-roll production for manufacturing a very large number of photonic devices at reduced cost.


Proceedings of SPIE | 2003

Active silicon support for DNA diagnostics

Ari Hokkanen; Joona Koponen; Kai Kolari; Ingmar Stuns

Heated liquid cavities have been studied. Microlitre scale liquid cavities were etched to the surface of a silicon wafer. Liquid cavities were sealed with a glass cover. Integration of active components to the silicon support is also possible. A heater and a thermistor element are integrated into the silicon support. A pole structure was used within the wells for thermal optimization and self-feeding. The pole structure increases the surface area between silicon and liquid, which enhances thermal transport between silicon and liquid. The temperature of the water is also more uniform. The pole structure also makes the liquid cavity semiporous, enabling the self-feeding of samples due to capillary force. Active silicon support could be used in diagnostics and in biotechnology. Silicon supports were tested in PCR (Polymerace Chain Reaction). The construction of the temperature controlling setup for the silicon support is described. Temperature controlling setup is an independent measuring setup. Interface to the silicon support is made with a printed board to a microscope glass slide format. It is possible to use the printed board interface in a microarray reader. The contruction of a fluorescence measurement setup based on a microarray reader is described.

Collaboration


Dive into the Kai Kolari's collaboration.

Top Co-Authors

Avatar

Ari Hokkanen

VTT Technical Research Centre of Finland

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Timo Aalto

VTT Technical Research Centre of Finland

View shared research outputs
Top Co-Authors

Avatar

Ingmar Stuns

VTT Technical Research Centre of Finland

View shared research outputs
Top Co-Authors

Avatar

Päivi Heimala

VTT Technical Research Centre of Finland

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ville Saarela

Helsinki University of Technology

View shared research outputs
Top Co-Authors

Avatar

P. Fürjes

Hungarian Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

P. Petrik

Hungarian Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar

Hans Söderlund

VTT Technical Research Centre of Finland

View shared research outputs
Researchain Logo
Decentralizing Knowledge