Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Katsumi Ohmori is active.

Publication


Featured researches published by Katsumi Ohmori.


Scientific Reports | 2016

Perpendicularly oriented sub-10-nm block copolymer lamellae by atmospheric thermal annealing for one minute

Takehiro Seshimo; Rina Maeda; Rin Odashima; Yutaka Takenaka; Daisuke Kawana; Katsumi Ohmori; Teruaki Hayakawa

The directed self-assembly (DSA) of block co-polymers (BCPs) can realize next-generation lithography for semiconductors and a variety of soft materials. It is imperative to simultaneously achieve many requirements such as a high resolution, orientation control of micro-domains, etch selectivity, rapid and mild annealing, a low cost, and compatibility with manufacturing for developing suitable BCPs. Here, we describe a new design for modified polysiloxane-based BCPs targeted for sub-10-nm-wide lines, which are able to form perpendicularly oriented lamellar structures in thin films. The hydroxyl groups in the side chains introduced in the polysiloxane block provide a good balance with the polystyrene surface free energy, thereby leading to the perpendicular orientation. Moreover, this orientation can be completed in only one minute at 130 °C in an air atmosphere. Oxygen plasma etching for the thin films results in the achievement of a line width of 8.5 nm.


Japanese Journal of Applied Physics | 2007

Effect of Acid Diffusion and Polymer Structure on Line Edge Roughness

Hiroki Yamamoto; Takahiro Kozawa; Akinori Saeki; Kazumasa Okamoto; Seiichi Tagawa; Katsumi Ohmori; Mitsuru Sato; Hiroji Komano

As the dimensions of resist patterns have been reduced, nanoscale resist topographies such as line edge roughness (LER) or line width roughness (LWR) have become a priority issue in lithographic processes. Despite many studies, the details of LER formation mechanism are still unclear. In this work, the effect of protecting groups on LER was investigated using polarity-change-type chemically amplified resists with the backbone polymer of poly(4-hydroxystyrene). LERs in the short acid diffusion range correspond to the fluctuation of initial acid distribution. LER decreases with line width expansion and reaches the minimum at a certain length, which depends on exposure dose. However, the dependence of polymer structure on LER was not observed. Because a difference in protecting groups leads to a difference in acid diffusion constant, the difference had an effect on LER formation. However, when compared at the same diffusion length, the effect of polymer structure on LER is small at LERs examined in this work (3–4 nm).


Proceedings of SPIE | 2008

Chemically amplified molecular resist based on fullerene derivative for nanolithography

Hiroki Yamamoto; Takahiro Kozawa; Seiichi Tagawa; Tomoyuki Ando; Katsumi Ohmori; Mitsuru Sato; Junichi Onodera

We developed a chemically amplified molecular resist based on a fullerene derivative and evaluated the lithographic performance using 75 keV electron beam (EB) exposure tool to explore the potential of fullerene derivatives as a positive-type EB resist with high resolution and high sensitivity properties. The etching rate of fullerene derivative is almost similar to that of ZEP and UVIII. Also, the fullerene derivative resist containing 6 wt% acid generator shows a sensitivity of 33 &mgr;C/cm2 when it was exposed to 75 keV electron beam and postbaked at 170 °C. Although it required a dose of 800 &mgr;C/cm2, a fullerene derivative film yielded line resolution of better than 30 nm. Moreover, the effect of the types of acid generators to the resist performance of fullerene derivatives was investigated. It is very important for a fullerene derivative resist to select appropriate acid generator and process conditions. Fullerene derivative resists are a promising candidate for nanolithography.


Japanese Journal of Applied Physics | 2007

Single-Component Chemically Amplified Resist Based on Dehalogenation of Polymer

Hiroki Yamamoto; Takahiro Kozawa; Seiichi Tagawa; Katsumi Ohmori; Mitsuru Sato; Hiroji Komano

For chemically amplified resists, which generally consist of a polymer and an acid generator, the homogeneity of resist materials is a serious issue. The incorporation of acid generators into polymers via covalent bonds has attracted considerable attention because it removes the problem of compatibility between acid generators and polymers. In this study, we designed a single-component chemically amplified resist, taking advantage of the difference in the reaction mechanisms between electron beam resists and photoresists. The designed resist has a hydroxyl group as a proton source and halogen atoms as an anion source for acid generation. We demonstrated a new chemistry for a next-generation resist formula.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Defect studies of resist process for 193nm immersion lithography

Tomoyuki Ando; Katsumi Ohmori; Satoshi Maemori; Toshikazu Takayama; Keita Ishizuka; Masaaki Yoshida; Tomoyuki Hirano; Jiro Yokoya; Katsushi Nakano; Tomoharu Fujiwara; Soichi Owa

193nm immersion lithography is the most promising lithographic technology for the semiconductor device manufacturing of 65nm node and below. The advantage of 193nm immersion lithography is the possibility of wider depth of focus (DOF) and higher resolution through the hyper NA lens design greater than 1.0(1-3). In this paper, we investigated the topcoat material film characteristics and evaluated its performance to determine the chemical properties needed for a practical level. The stage scan speed capability evaluation, which is one of the best available method to test the suppression or generation of small water droplet remains on the topcoat film at high-speed stage scan during immersion exposure, was used. And finally we investigated the defectivity of topcoat process utilizing the Nikon EET. The static and dynamic contact angles of water droplet were investigated to characterize the topcoat material. The tilting sliding and receding angle, the contact angle of water droplet at the dynamic state, were important parameters to characterize the topcoat materials and have good correlation to wafer stage scan speed capability and immersion defect count reduction.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Progress of topcoat and resist development for 193nm immersion lithography

Katsumi Ohmori; Tomoyuki Ando; Toshikazu Takayama; Keita Ishizuka; Masaki Yoshida; Yoshiyuki Utsumi; Kotaro Endo; Takeshi Iwai

193nm immersion lithography is the most promising lithography candidate for 45nm node technology and beyond. However, immersion specific issue, such as the immersion specific defect and the leaching of resists compound into immersion fluid, still exists without any effective countermeasure. To realize a productive 193nm immersion lithography process, we have to develop a cost effective material that might be immersion dedicated resist. In this paper, we investigated the leaching with different polymer protective agents and hydrophobicity. It was found that the leaching amount was strongly related to the activation energy of the protective agent and hydrophobicity of the polymer. Higher activation energy of protective agents and higher hydrophobicity of polymer showed less amount of leaching. In this paper, newly developed developable type topcoat TILCTM-031 demonstrated the excellent ability of immersion defect prevention.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Tri-layer resist process for fabricating sub 45-nm L&S patterns by EPL

Fumihiro Koba; Kazuyuki Matsumaro; Eiichi Soda; Tadayoshi Watanabe; Yoshihisa Matsubara; Hiroshi Arimoto; Tasuku Matsumiya; Daisuke Kawana; Naoki Yamashita; Yasushi Fujii; Katsumi Ohmori; Mitsuru Sato; Takahiro Kozawa; Seiichi Tagawa

In this study, we have demonstrated a resist process to fabricate sub 45-nm lines and spaces (L&S) patterns (1:1) by using electron projection lithography (EPL) for a back-end-of-line (BEOL) process for 45-nm technology node. As a starting point we tried to fabricate sub 45-nm L&S (1:1) patterns using a conventional EPL single-layer resist process. There, the resolution of the EPL resist patterns turned out to be limited to 70 nm L&S (1:1) with aspect ratio (AR) of 3.3 which was caused by pattern collapse during the drying step in resist develop process. It has been common knowledge that pattern collapse of this type could be prevented by reducing the surface tension of the rinse-liquid and by decreasing the AR of the resist patterns. Therefore, we first applied a surfactant rinse to a single-layer resist process that could control the pattern collapse by its reduced surface tension. In this experiment, we used the ArF resist instead of the EPL resist because the surfactant that we were able to obtain was the one optimized to the ArF resist materials. From the results of ArF resist experiments, it was guessed that it was difficult for the EPL resist to obtain the L&S patterns with AR of 3.5 or more even if we used the surfactant optimized to the EPL resist. And we found that it was considerably difficult to form 45-nm L&S patterns with AR of 5.1 that was our target. Next, we evaluated a EPL tri-layer resist process to prevent pattern collapse by decreasing the AR of the resist patterns. Because in a tri-layer resist process the purpose of the top-layer resist is to transfer pattern to the middle-layer, a thinner top-layer resist was selected. By using the tri-layer resist process we were able to control the resist pattern collapse and thus were successful in achieving 40-nm L/S (1:1) top-layer resist patterns with AR of 2.3. The process also gave us 40-nm L&S (1:1) patterns after low-k film etching. And moreover, using our tri-layer resist process we were able to fabricate a wiring device with Cu/low-k. Although it was our first attempt, the process resulted in a high yield of 70 % for a 60-nm (1:1) wiring device. As a part of our study we conducted failure analysis of the results of our experiment. We found that the failures were located at the edge of the wafer and might originate in the bottom-layer pattern collapse. We thought that the wiring yield could be increased by control the bottom-layer pattern collapse. These findings indicated that our tri-layer resist process had a high applicability for device fabrication in BEOL.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Lithographic performance and optimization of chemically amplified single layer resists for EUV lithography

Takeo Watanabe; Hiroo Kinoshita; Atsushi Miyafuji; Shigeo Irie; Shigeru Shirayone; Shigeyasu Mori; Ei Yano; Hideo Hada; Katsumi Ohmori; Hiroshi Komano

The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-micrometer-resist- pattern width on the exposure-field size of 10 mm X 1 mm on an 8-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.


Journal of Vacuum Science & Technology B | 1999

Characteristics for negative and positive tone resists with direct write electron beam and SCALPEL exposure systems

Mitsuru Sato; L. E. Ocala; Anthony E. Novembre; Katsumi Ohmori; Kiyoshi Ishikawa; Katsuhiko Katsumata; Toshimasa Nakayama

High acceleration voltage electron beam exposure is one of the possible candidates for post optical lithography, where tighter resolution and wide process latitude is required. The use of highly accelerated electrons instead of photons prevents optical related problems, such as standing waves, because the interaction mechanisms between the resist and photons and electrons are different. The present work will describe results obtained with a 50 kV direct write e-beam exposure tool and SCALPEL, which operates at 100 kV acceleration voltage on positive and negative chemically amplified resists from Tokyo Ohka Kogyo (TOK). TOK has designed a positive tone resist, EP-004, with 100 nm resolution for line and space patterns. The dose to print on SCALPEL is 6 μC/cm2 and process latitude is about 10%. The TOK negative resist, EN-009, has 100 nm resolution for isolated lines. The dose to print on SCALPEL is 7 μC/cm2 and process latitude is about 15%. These results are the first to meet high throughput requirements for SCALPEL in terms of resist sensitivity and process latitude, which are: dose between 5 and 10 μC/cm2 and process latitude greater than 10%.


Proceedings of SPIE | 2012

Out-of-band insensitive polymer-bound PAG for EUV resist

Jun Iwashita; Taku Hirayama; Kensuke Matsuzawa; Yoshiyuki Utsumi; Katsumi Ohmori

Out of band (OoB) radiation has been regarded as one of the key issues on Extreme Ultra Violet Lithography (EUVL). OoB light especially in the deep ultraviolet (DUV) region have a negative impact on image contrast and resist profile, since general photo acid generator (PAG) used in chemically amplified EUV resist are also sensitive for DUV. It is reported that a Spectral Purify Filter (SPF) would eliminate OoB radiation. However it expense a large reduction in EUV power and hence throughput, so it is reported that HVM EUV exposure tool would not employ SPF. Therefore, both EUV sensitive and DUV insensitive are required property to overcome OoB radiation issue by resist material itself. Consideration of PAG cation structure was proceeded to control absorption for DUV. Based on the concept, OoB insensitivity was investigated both on blend resist platform and Polymer Bound PAG (PBP) platform. OoB insensitive concept was confirmed with UV spectrum and sensitivity for KrF and ArF. The OoB insensitive PAG cation worked well on PBP, while dark loss are seen on blend resist platform due to lack of inhibition effect. Lithographic performance would be exhibited using Alpha Demo Tool (ADT) and NXE3100. Outgassing property on witness sample (WS) and Residual Gas Analysis (RGA ) will be also discussed.

Collaboration


Dive into the Katsumi Ohmori's collaboration.

Top Co-Authors

Avatar

Tasuku Matsumiya

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Ken Miyagi

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tsuyoshi Kurosawa

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Mitsuru Sato

Gunma Prefectural College of Health Sciences

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge