Ken Maruyama
Kanagawa University
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Ken Maruyama.
Journal of Materials Chemistry | 2008
Hiroto Kudo; Daisuke Watanabe; Tadatomi Nishikubo; Ken Maruyama; Daisuke Shimizu; Toshiyuki Kai; Tsutomu Shimokawa; Christopher K. Ober
A novel ladder-type cyclic oligomer (molecular water-wheel = noria) derivative containing t-butyl ester groups was synthesized. This derivative (noria-COOtBu) had good thermal stability, good solubility in common organic solvents, and good film-forming ability. The photo-induced deprotection (UV irradiation for 30 min followed by heating at 130 °C) of films of noria-COOtBu was examined in the presence of a photo-acid generator, and it was found that deprotection of the t-butyl groups proceeded smoothly to give the corresponding carboxylic acid derivative (noria-COOH). Furthermore, when noria-COOtBu(71) (ratio of t-butyl ester groups: 71%) was examined as an electron-beam resist material, a clear line and space pattern was obtained at a resolution of 70 nm.
Proceedings of SPIE | 2012
Ken Maruyama; Hiroki Nakagawa; Shalini Sharma; Yoshi Hishiro; Makoto Shimizu; Tooru Kimura
In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short development time gained resolution improvement compared with long one. Finally, combination of these results was investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.
Proceedings of SPIE | 2010
Ken Maruyama; Makoto Shimizu; Yuuki Hirai; Kouta Nishino; Tooru Kimura; Toshiyuki Kai; Kentaro Goto; Shalini Sharma
In order to achieve targeted resist performance for EUV in practical applications, we have developed new materials such as molecular glass (MG), PAG, and acid amplifiers (AA). Protected NORIA, a molecular glass, was examined for extending resolution limits. The resist with protected NORIA showed 22 nm hp resolutions under EUV exposure. PAG acid diffusion effect on LWR was also investigated. It was found that acid diffusion control was one of the most important factors for LWR improvement. To improve sensitivity, application of AA (acid amplifier) was investigated. The resist with AA gained 25% sensitivity improvement over the original formulation. Elemental technologies for major progress of EUV resist were made.
Proceedings of SPIE | 2014
Motohiro Shiratani; Takehiko Naruoka; Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Andreia Santos; Xavier Buch; Tooru Kimura
Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high resist sensitivity EUV resist absorbance should be increased. Resin containing fluorine atom is one of the most attractive methods to improve absorbance level of EUV resist because the fluorine atom absorbs EUV light strongly. However, resist hydrophobicity (or high contact angle) also increase due to presence of fluorine atoms in the resist polymer. It is difficult to rinse high CA resist during development process so the resist containing polymer with fluorine atom may produce additional defects. In this paper, we will report the relationship between line edge roughness and acid diffusion length. We will also show the method to diminish defects caused by high contact angle (CA) resist. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.
Proceedings of SPIE | 2013
Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Koji Inukai; Motohiro Shiratani; Tooru Kimura
New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.
Nanotechnology | 2014
Prashant K. Kulshreshtha; Ken Maruyama; Sara Kiani; James M. Blackwell; Deirdre L. Olynick; Paul D. Ashby
Here we present a new resist design concept. By adding dilute cross-linkers to a chemically amplified molecular resist, we synergize entropic and enthalpic contributions to dissolution by harnessing both changes to molecular weight and changes in intermolecular bonding to create a system that outperforms resists that emphasize one contribution over the other. We study patterning performance, resist modulus, solubility kinetics and material redistribution as a function of cross-linker concentration. Cross-linking varies from dilute oligomerization to creating a highly networked system. The addition of small amounts of cross-linker improves resist performance by reducing material diffusion and redistribution during development and stiffening the features to avoid pattern collapse. The new dilute cross-linking system achieves the highest resolution of a sensitive molecular glass resist at 20 nm half-pitch and line-edge roughness (LER) of 4.3 nm and can inform new resist design towards patterned feature control at the molecular level.
Proceedings of SPIE | 2012
Kyoungyong Cho; Hiroki Nakagawa; Ken Maruyama; Makoto Shimizu; Tooru Kimura; Yoshi Hishiro
Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity (LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid generator (PAG).
Proceedings of SPIE | 2015
Prashant K. Kulshreshtha; Ken Maruyama; Scott Dhuey; Dominik Ziegler; Weilun Chao; Paul D. Ashby; Deirdre L. Olynick
One of the key challenges to high resolution resist patterning is probing the resist properties at length scales commensurate with the pattern size. Using a new scanning probe microscopy (SPM), Peak Force™ tapping, we map exposure dependent nanoscale modulus of the exposed/developed resist patterns with sub-10 nm resolution. By innovative electron beam exposure pattern design, the SPM technique reveals that resist modulus follows the height contrast profile, but with a shift to higher exposure doses. SEM image analysis of patterned resist structures confirm that the best line-space patterns are achieved at exposure dose where modulus reaches its maximum and shows how modulus can be used to probe patternability of resist systems.
Proceedings of SPIE | 2013
Prashant K. Kulshreshtha; Ken Maruyama; Sara Kiani; Dominik Ziegler; James M. Blackwell; Deidre Olynick; Paul D. Ashby
One of the key challenges to high resolution resist patterning is pattern collapse. Using a new scanning probe microscopy (SPM), Peak ForceTM tapping, we map nano-mechanical properties-- modulus, adhesion, and dissipation-- of the exposed/developed resist structures with sub-10 nm resolution. Properties are compared across a carbon based negative resist with and without cross-linking. The SPM technique reveals that cross-linking significantly enhances the mechanical properties to give a champion resolution of sub 20 nm half-pitch in a chemically amplified negative resist system. Beyond mechanical properties, surface morphology and redistribution kinetics were examined using complementary techniques and reveal additional benefits with cross-linking.
Proceedings of SPIE | 2013
Prashant K. Kulshreshtha; Ken Maruyama; Sara Kiani; Scott Dhuey; James M. Blackwell; Deirdre L. Olynick; Paul D. Ashby
Here, we report the highest recorded resolution for a negative-tone, carbon-based, chemically amplified (CA) resist of 20 nm half-pitch (HP) using both E-beam and EUV exposure systems. The new chemistry incorporates variable amounts of oxetane (0, 5, 10 and 20%) cross-linker into a base of Noria-MAd (methyl-admantane) molecular resist. Cross-linkable resists showed simultaneous improvements in surface energy, structural integrity, and swelling to ensure collapse free 20nm HP patterns and line-edge roughness (LER) down to 2.3 nm. EUV exposed Noria-Ox (5%) cross-linked resist patterns demonstrated 5 times improvement in Z-factor (for 24 nm HP) over Noria-MAd alone.