Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takehiko Naruoka is active.

Publication


Featured researches published by Takehiko Naruoka.


Proceedings of SPIE | 2015

Novel EUV resist development for sub-14nm half pitch

Masafumi Hori; Takehiko Naruoka; Hisashi Nakagawa; Tomohisa Fujisawa; Takakazu Kimoto; Motohiro Shiratani; Tomoki Nagai; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Toru Kimura

Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.


Proceedings of SPIE | 2014

Novel EUV resist materials for 16nm half pitch and EUV resist defects

Motohiro Shiratani; Takehiko Naruoka; Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Andreia Santos; Xavier Buch; Tooru Kimura

Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high resist sensitivity EUV resist absorbance should be increased. Resin containing fluorine atom is one of the most attractive methods to improve absorbance level of EUV resist because the fluorine atom absorbs EUV light strongly. However, resist hydrophobicity (or high contact angle) also increase due to presence of fluorine atoms in the resist polymer. It is difficult to rinse high CA resist during development process so the resist containing polymer with fluorine atom may produce additional defects. In this paper, we will report the relationship between line edge roughness and acid diffusion length. We will also show the method to diminish defects caused by high contact angle (CA) resist. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.


Journal of Micro-nanolithography Mems and Moems | 2016

Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

Elizabeth Buitrago; Seiji Nagahara; Oktay Yildirim; Hisashi Nakagawa; Seiichi Tagawa; Marieke Meeuwissen; Tomoki Nagai; Takehiko Naruoka; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci

Abstract. Extreme ultraviolet lithography (EUVL, λ=13.5  nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365  nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).


Proceedings of SPIE | 2013

Polymer blends for directed self-assembly

Yuuji Namie; Yusuke Anno; Takehiko Naruoka; Shinya Minegishi; Tomoki Nagai; Yoshi Hishiro; Yoshikazu Yamaguchi

The advantage of blend DSA (Directed Self Assembly) is milder anneal condition than PS-b-PMMA BCP DSA materials and availability of conventional instruments. In this paper, blend type DSA was applied for hole patterning. Target patterns were contact hole and oval hole. Polymer phase separation behavior has been studied from the point of χN. In the case of polymer blend, χN needs to be more than 2 to give phase separation. At first the effect of polymer size was studied. When the polymer weight was low, the shrunk hole was not clean because of low χN. Furthermore, the correlation of shrink amount and χN was studied. Higher χN polymer blend system gave higher shrink amount. High χN polymer systems give clear interface, then the intermixing area would be reduced, then the attached polymer blend part became larger. The polymer blend ratio effect was also investigated. The blend ratio was varied for polymer A/ polymer B=70/30-50/50. The shrink amount of oval hole was reduced with increasing the ratio of polymer B. However, the shrink amount ratio of CDY/CDX was almost constant (~3).


Proceedings of SPIE | 2016

Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure

Seiji Nagahara; Michael A. Carcasi; Hisashi Nakagawa; Elizabeth Buitrago; Oktay Yildirim; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Masaru Tomono; Hironori Mizoguchi; Joel Estrella; Tomoki Nagai; Takehiko Naruoka; Satoshi Dei; Masafumi Hori; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci; Marieke Meeuwissen; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; R. Maas; Hideo Nakashima; Seiichi Tagawa

This paper proposes a promising approach to break the resolution (R), line-edge-roughness (LER), and sensitivity (S) trade-off (RLS trade-off) relationships that limit the ultimate lithographic performance of standard chemically amplified resists (CAR). This is accomplished in a process that uses a Photosensitized Chemically Amplified Resist (PSCAR) in combination with a flood-exposure in an in-line track connected to a pattern exposure tool. PSCAR is a modified CAR which contains a photosensitizer precursor (PP) in addition to other standard CAR components such as a protected polymer, a photo acid generator (PAG) and a quencher. In this paper, the PSCAR concept and the required conditions in resist formulation are carefully explained. In the PSCAR process, the sensitivity improvement is accomplished by PAG decomposition to selectively generate more acid at the pattern exposed areas during the flood exposure. The selective photosensitization happens through the excitation of the photosensitizer (PS) generated by the deprotection of the PP at the pattern exposed areas. A higher resist chemical gradient which leads to an improved resolution and lower LER values is also predicted using the PSCAR simulator. In the PSCAR process, the improved chemical gradient can be realized by dual acid quenching steps with the help of increased quencher concentration. Acid quenching first happens simultaneously with acid catalytic PP to PS reactions. As a result, a sharpened PS latent image is created in the PSCAR. This image is subsequently excited by the flood exposure creating additional acid products at the pattern exposed areas only. Much the same as in the standard CAR system, unnecessary acid present in the non-pattern exposed areas can be neutralized by the remaining quencher to therefore produce sharper acid latent images. EUV exposure results down to 15 nm half pitch (HP) line/space (L/S) patterns using a PSCAR resist indicate that the use of PSCAR has the potential to improve the sensitivity of the system while simultaneously improving the line-width-roughness (LWR) with added quencher and flood exposure doses. In addition, improved across-wafer critical dimension uniformity (CDU) is realized by the use of a PSCAR in combination with a flood exposure using pre α UV exposure module.


Proceedings of SPIE | 2016

Novel high sensitivity EUV photoresist for sub-7nm node

Tomoki Nagai; Hisashi Nakagawa; Takehiko Naruoka; Seiichi Tagawa; Akihiro Oshima; Seiji Nagahara; Gosuke Shiraishi; Kosuke Yoshihara; Yuichi Terashita; Yukie Minekawa; Elizabeth Buitrago; Yasin Ekinci; Oktay Yildirim; Marieke Meeuwissen; Rik Hoefnagels; Gijsbert Rispens; Coen Verspaget; R. Maas

Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.


Proceedings of SPIE | 2017

Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure

Seiji Nagahara; Michael A. Carcasi; Gosuke Shiraishi; Hisashi Nakagawa; Satoshi Dei; Takahiro Shiozawa; Kathleen Nafus; Danilo De Simone; Geert Vandenberghe; Hans-Jürgen Stock; Bernd Küchler; Masafumi Hori; Takehiko Naruoka; Tomoki Nagai; Yukie Minekawa; Tomohiro Iseki; Yoshihiro Kondo; Kosuke Yoshihara; Yuya Kamei; Masaru Tomono; Ryo Shimada; S. Biesemans; Hideo Nakashima; Philippe Foubert; Elizabeth Buitrago; Michaela Vockenhuber; Yasin Ekinci; Akihiro Oshima; Seiichi Tagawa

A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.


Proceedings of SPIE | 2016

Novel metal containing resists for EUV lithography extendibility

Danilo De Simone; Safak Sayan; Satoshi Dei; Ivan Pollentier; Yuhei Kuwahara; Geert Vandenberghe; Kathleen Nafus; Motohiro Shiratani; Hisashi Nakagawa; Takehiko Naruoka

Strong interest has recently developed among the researchers in the use of metals in extreme ultraviolet (EUV) lithography photoresists [1, 2] aiming to simultaneously achieve the resolution, line-width roughness and sensitivity (RLS) requirements for 10nm technology node and below and have the highest productivity with low exposure dose requirements (below 20mJ/cm2). In this paper two different metal containing resists (MCR) are discussed: the first one uses metal oxide nanoparticles (NP) bonded with ligands as an alternative non chemically amplified EUV photoresist; the second one introduces a metal species (the sensitizer) into a conventional chemically amplified EUV photoresist. In both cases, the metal is added to the resist system to increase the absorption of EUV photons as well as increase the generation of secondary electrons, thereby making more effective use of the dose. The initial work is focused on manufacturing compatibility, concerning metal cross-contamination, outgassing and hydrides formation risk. Next, lithographic performance is evaluated with respect to the RLS requirements by patterning on NXE:3300 full field scanner exposure tool, with particular emphasis on the material stability of different formulations.. Finally, imaging results at different processing conditions are also reported and discussed.


Proceedings of SPIE | 2015

First results of outgas resist family test and correlation between outgas specifications and EUV resist development

Yu-Jen Fan; Ken Maruyama; Ramakrishnan Ayothi; Takehiko Naruoka; Tonmoy Chakraborty; Dominic Ashworth; Jun Sung Chun; Cecilia Montgomery; Shih-Hui Jen; Mark Neisser; Kevin Cummings

In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Constructing a robust PSCARTM process for EUV (Conference Presentation)

Michael A. Carcasi; Seiji Nagahara; Gosuke Shiraishi; Yukie Minekawa; Hiroyuki Ide; Kosuke Yoshihara; Masaru Tomono; Ryo Shimada; Geert Vandenberghe; Danilo De Simone; Philippe Foubert; Akihiro Oshima; Seiichi Tagawa; Kazuhiro Takeshita; Teruhiko Moriya; Yuya Kamei; Kathleen Nafus; S. Biesemans; Hideo Nakashima; Hisashi Nakagawa; Takehiko Naruoka; Tomoki Nagai; Masafumi Hori; Satoshi Dei; Ken Maruyama; Yoshihiro Kondo; Masayuki Miyake; Motoyuki Shima; John S. Petersen

In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8. PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only. Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.

Collaboration


Dive into the Takehiko Naruoka's collaboration.

Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge