Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kenetsu Yokogawa is active.

Publication


Featured researches published by Kenetsu Yokogawa.


Japanese Journal of Applied Physics | 2007

Investigation of Bowing Reduction in SiO2 Etching Taking into Account Radical Sticking in a Hole

Masaru Izawa; Nobuyuki Negishi; Kenetsu Yokogawa; Yoshinori Momonoi

The bowing mechanism in high-aspect-ratio contact hole (HARC) etching was investigated by taking into account reactive sticking on the sidewall of the hole. Sticking coefficients of radicals on the sidewall have been estimated by comparing the observed deposition profile with the calculated one. It was found that the coefficients of C rich radicals and CFx radicals were 0.5 and 0.004, respectively, and that F radical reaction probability to the fluorocarbon polymer is 0.07. These coefficient values were deduced that the excessive flux of O and F onto the sidewall of a hole causes bowing during HARC etching. It was also indicated that the bowing can be suppressed by reducing of the flux of oxygen. These findings were confirmed by the results of experiments using an ultra-high frequency-electron cyclotron resonance (UHF-ECR) plasma.


Journal of Vacuum Science & Technology B | 2004

Dry cleaning technique for particle removal based on gas-flow and down-flow plasma

Yoshinori Momonoi; Kenetsu Yokogawa; Masaru Izawa

A dry-cleaning technique—consisting of down-flow plasma and utilizing gas-flow viscosity—has been developed. This technique is expected to be used for particle cleaning during semiconductor manufacturing. Particles are removed by both the chemical effects of the plasma and the mechanical effects of the viscous friction of the gas flow. Regarding the mechanical effects, the viscous friction is increased by narrowing the gas-flow space along the wafer surface. As for chemical effects, fluorine radical relaxes the adhesion force of particles on the silicon wafer. It has been experimentally found that the removal efficiency of Al2O3 particles on a Si wafer is 98% in 60 s and the etching depth of polysilicon is 0.17 nm. It has been also found that the technique causes no charge-buildup damage.


Japanese Journal of Applied Physics | 2009

Effects of Mask and Necking Deformation on Bowing and Twisting in High-Aspect-Ratio Contact Hole Etching

Masatoshi Miyake; Nobuyuki Negishi; Masaru Izawa; Kenetsu Yokogawa; Masatoshi Oyama; Tadamitsu Kanekiyo

The effects of mask characteristics on high-aspect-ratio contact hole (HARC) etching profiles were investigated. The evaluation of etching profiles produced with different taper angle masks confirmed that the bowing amount and mask selectivity worsened with decreasing mask taper angle. The relationship between mask taper angle and distribution of scattered ion flux on the sidewall of a tapered mask was calculated. The scattered ion flux was heavily concentrated in the upper part of the sidewall in the case of a tapered mask, and this was considered to be the main cause of the bowing formation. Direct observation of an etched sidewall by atomic force microscopy (AFM) revealed that the roughness of the necking was strongly related to the roughness of the bottom part of the etched sidewall. To evaluate the dependence of twisting on nonuniform necking, the incident ion flux in a circular hole was calculated. As a result, in the case of nonaxisymmetric necking, an imbalance of ion flux in the bottom of the hole appeared and broke the etching symmetry in the bottom part of the hole, causing twisting. In addition, the probability of twisting was found to increase with increasing necking growth rate irrespective of mask electrification. Therefore, mask deformation and nonuniform necking in the upper part of the sidewall during HARC etching are considered the main factors causing bottom degradation. Accordingly, a vertical and nondeformed mask is very important for a smaller critical dimension (CD) and HARC etching.


Japanese Journal of Applied Physics | 2008

Real Time Estimation and Control of Oxide-Etch Rate Distribution Using Plasma Emission Distribution Measurements

Kenetsu Yokogawa; Kenji Maeda; Masaru Izawa

We studied real-time estimation and automatic control of etch-rate distribution in order to develop next-generation oxide etching techniques that will have improved reliability and reproducibility when used in mass production processes. We specifically investigated the relationship between plasma emission intensity (PEI) distribution detected by silicon photodiodes and oxide etch-rate distribution. We found that there was a strong correlation (correlation coefficient = 0.988) between the uniformity of oxide etch-rate distribution and relative PEI distribution. We also found that relative changes in oxide etch-rate uniformity of ±1% can be detected in real time by measuring PEI distribution. We then demonstrated that self-adjustments of oxide etch-rate distribution are possible by using feedback based on the monitoring PEI distribution to control magnetic field conditions. Moreover, we demonstrated that monitoring PEI distribution can be used to observe fast phenomena that occur in the order of milliseconds during the plasma transition process. These phenomena are related to charging damage, such as plasma ignition and disappearance.


Nuclear Instruments & Methods in Physics Research Section B-beam Interactions With Materials and Atoms | 1991

Distribution of paramagnetic defects formed in silicon by MeV ion implantations

Yusuke Yajima; Nobuyoshi Natsuaki; Kenetsu Yokogawa; Shigeru Nishimatsu

Abstract Two different types of paramagnetic centers in silicon, Si-P3 (neutral {110} planar tetravacancies) in a well defined crystalline structure and point defects with poorly defined local structure in substantially damaged crystalline environments, formed by 3 MeV phosphorus and silicon ion implantations up to a dose of 1 × 10 14 cm −2 have been compared both in the dose dependence of area densities and in depth profiles. When the dose reaches to 1 × 10 14 cm −2 , the area density of Si-P3 starts to saturate while that of “indefinite” point defects keeps increasing. Also at this dose, the mean concentration of Si-P3 decreases as a function of depth from the surface whereas that of “indefinite” point defects increases. These results are discussed in terms of a damage overlap model in conjunction with a Monte Carlo simulation of lattice disorder.


Japanese Journal of Applied Physics | 1993

ESR STUDY OF NATURAL OXIDATION PROCESSES OF HF-TREATED SILICON (111) SURFACES

Kenetsu Yokogawa; Tatsumi Mizutani

Natural oxidation processes on hydrogen fluoride (HF) treated silicon (111) surface were measured by ESR and X-ray photoelectron spectroscopy (XPS). After etched samples were exposed to air, Pb centers were observed by ESR, and XPS showed step-like increases in oxide thickness. Despite the first step in oxide thickness occurring at 200-300 h and remaining roughly constant from 300 to 800 h, the Pb center density increased monotonically and saturated after about 700 h. This increase in Pb center density has been interpreted in terms of continuous reconstruction at the interface during oxidation.


Japanese Journal of Applied Physics | 2014

Surface analysis of polysilicon gate etching by pulsed-microwave plasma

Miyako Matsui; Michikazu Morimoto; Norihiko Ikeda; Kenetsu Yokogawa

The mechanism of highly selective etching by a pulsed-microwave electron-cyclotron-resonance plasma was investigated by analyzing surface-reaction layers formed on nonpatterned poly-Si and SiO2 samples and gate-patterned samples with a gate width of 32 nm. The samples were etched by using an HBr/O2/Ar/CH4 gas chemistry and varying the duty cycle of the pulsed microwave. The reaction layers, which were revealed as a hydrocarbon layer on a SiBrxOy layer, were analyzed by X-ray photoelectron spectroscopy. The upper layer was a hydrocarbon layer, which protected SiO2 from ion bombardment and also prevented Br flux from being supplied to the SiO2. The lower layer was a SiBrxOy layer, which suppressed the etching of the underlying Si substrate. The formation of the hydrocarbon layer was controlled by the duty cycle of the microwave plasma. Etch stop, which occurred at a low peak-to-peak voltage (wafer bias) of the continuous microwave plasma, was prevented by controlling the thickness of the hydrocarbon layer in the pulsed-microwave plasma. Gate-oxide punch-through, which occurred at a high peak-to-peak voltage of wafer bias in the case of the continuous microwave plasma, was also prevented in the case of the pulsed microwave plasma by forming reaction layers with high C/Br ratio.


international reliability physics symposium | 1995

Reduced poly-Si TFT threshold voltage instability by high-temperature hydrogenation of a-Si-like spin centers

Yoshiaki Kamigaki; Takashi Hashimoto; Masaaki Aoki; Kenetsu Yokogawa; Masahiro Moniwa; Sinpei Iijima; Masataka Minami; Hiroshi Ishida; Hidekazu Okuhira; Sigeru Aoki; Toshiaki Yamanaka

New findings of this work are as follows: a-Si-like spin centers (.Si/spl equiv/Si/sub 3/) are found to exist in CVD-SiO/sub 2/ gate dielectric films as well as poly-Si substrate films. High-temperature hydrogenation (HTH) at 850/spl deg/C is effective in terminating these spin centers and in reducing the poly-Si PMOS TFT threshold voltage instability.


Japanese Journal of Applied Physics | 2012

High-Temperature Annealing by Subatmospheric-Pressure Radio-Frequency Capacitively Coupled Plasma

Masatoshi Miyake; Kenetsu Yokogawa

High-temperature annealing was performed by a novel annealing system using subatmospheric-pressure radio-frequency capacitively coupled plasma (SAP-CCP). The heating characteristics and stability of discharge were studied. An electrode temperature of 1900 °C was obtained with a stable and uniform glow-like discharge. Also, the characteristics of annealing were investigated using silicon wafers implanted with boron ions accelerated by 5 kV at doses of 2.0×1015 cm-2. The sheet resistance decreased with increasing annealing temperature in the same manner as in conventional rapid thermal annealing. As a result, a sheet resistance of 86 Ω/sq was successfully achieved at an electrode temperature of 1080 °C without any surface roughness.


international reliability physics symposium | 1989

The effects of minute impurities (H, OH, F) on the SiO/sub 2//Si interface investigated by nuclear resonant reaction and electron spin resonance

Yuzuru Ohji; Yasushiro Nishioka; Kenetsu Yokogawa; Kiichiro Mukai; Qi Qiu; E. Arai; Takuo Sugano

The effects of minute amounts of impurities (H, OH, and F) in SiO/sub 2/ are investigated to obtain a guideline for improving the reliability of MOS devices. To examine the behavior of hydrogen, deuterium (D) is adopted as a tracer. The quantity of deuterium dissolved in SiO/sub 2/ is measured by the D(/sup 3/He,p)/sup 4/He nuclear resonant reaction (NRR) technique. The SiO/sub 2//Si interface structure is examined by electron spin resonance (ESR) measurement. Hot-carrier injection with MOS capacitors and transistors are examined to determine the effects of minute impurities on the electrical characteristics of gate SiO/sub 2/ and the correlation of this effect with the NRR and ESR experimental results. Significant amounts of D/sub 2/O are dissolved into SiO/sub 2/, even at 200 degrees C, and the dissolved D/sub 2/O is eliminated at temperatures above 700 degrees C. The disappearance of the interface traps at temperatures higher than 800 degrees C is thought to be due to the viscous flow of SiO/sub 2/ or due to the interface reoxidation, since in both cases the interface strain is relaxed. Reduced hydrogen concentration and relaxation of the interface strain are essential for improving the MOS device endurance against hot carriers. >

Collaboration


Dive into the Kenetsu Yokogawa's collaboration.

Researchain Logo
Decentralizing Knowledge