Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kiichi Ishikawa is active.

Publication


Featured researches published by Kiichi Ishikawa.


15th Annual BACUS Symposium on Photomask Technology and Management '95 | 1995

Defect printability study of attenuated phase-shifting masks for specifying inspection sensitivity

Minoru Sugawara; Kiichi Ishikawa; Hiroichi Kawahira; Ichiro Kagami; Satoru Nozawa

Defect printability of attenuated phase-shifting masks for a dot defect in isolated hole and space patterns is evaluated by EDM (exposure-defocus and mask fabrication latitudes) process windows and process latitude functions which are derived from a series of EDM windows. The allowable defect size is confirmed to be 0.08 (lambda) /NA both for the hole and space patterns with the practical process latitude consideration. By the evaluation of the process latitude functions, it is found that an influence range by the dot defect is extended up to 20 times of the defect size in units on a wafer.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

Yosuke Kojima; Masanori Shirasaki; Kazuaki Chiba; Tsuyoshi Tanaka; Yukio Inazuki; Hiroki Yoshikawa; Satoshi Okazaki; Kazuya Iwase; Kiichi Ishikawa; Ken Ozawa

For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.


Japanese Journal of Applied Physics | 1995

New systematic evaluation method for attenuated phase-shifting mask specifications

Ichiro Kagami; Minoru Sugawara; Hiroichi Kawahira; Keisuke Tsudaka; Kiichi Ishikawa; Satoru Nozawa; Hideo Shimizu; Tohru Ogawa

A new simulation-based approach for specifying an attenuated phase-shifting mask (att-PSM) is proposed with systematically evaluating entire process parameters related to illumination sources, resist development in a wafer and process latitude. Exposure-defocus and mask fabrication latitude (EDM) methodology is adopted for considering the process latitude of exposure, defocus and mask line width. Transmittance and mask bias are targetted in the specifications, because these parameters are essential in the selection of the optimum att-PSM characteristics. The suppression of optical proximity effects by modified beam illumination (MBI) is also verified by the new evaluation method. The technique of combined att-PSMs and MBI can be applied to various types of dense hole patterns with the appropriate transmittance and mask bias.


15th Annual BACUS Symposium on Photomask Technology and Management '95 | 1995

Attenuated phase-shifting mask specification with modified beam illumination

Ichiro Kagami; Minoru Sugawara; Hiroichi Kawahira; Keisuke Tsudaka; Kiichi Ishikawa; Satoru Nozawa

Recently, attenuated phase-shifting masks (att-PSMs) with advanced illumination technology of a modified beam illumination (MBI) become a pioneer to expand applications of i-line and KrF lithography. This technology can enhance a depth of focus even for a dense pattern layout by eliminating an undesirable secondary peak intensity which is found in att-PSMs with a normal illumination. In order to extract appropriate performance of att-PSMs with the MBI, a new systematic evaluation method using exposure-defocus and mask fabrication latitude (EDM) methodology in which all sorts of process parameters can be considered is proposed for setting att-PSM specifications. Mask transmittance and biasing are the parameters that essentially contribute to the optimum att-PSM characteristics. In this paper, first the evaluation flow using EDM methodology is explained in detail. Next, feasible ranges of mask transmittance and bias are discussed. Finally, it is shown that the mask line width uniformity significantly affects lithography performance with the att-PSMs and mask specifications of transmittance and bias.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Development of Cr-based attenuated phase-shift mask process for 0.18-μm device generation

Ichiro Kagami; Kiichi Ishikawa; Daichi Kakuta; Hiroichi Kawahira

Making attenuated phase shift masks for KrF excimer laser lithography is going to be on the mass production stage for the 0.18 micrometer critical hole and line layers. Here, key issues are phase controllability, critical dimension (CD) control on the mask and mask defect repair technique. To get a sufficient mask CD controllability, we use a chemically amplified negative EB resist with a 10 kV electron beam system. For a better phase mean to target control, we have applied Chrome-based attenuated phase shift blanks. The phase angle adjusted using post process of quartz etching after pattern defect inspection. To guarantee the CD error of repaired patterns on wafer, preliminary investigation of printability with repaired mask patterns is presented.


24th Annual BACUS Symposium on Photomask Technology | 2004

Phase defect printability and mask inspection capability of 65-nm technology node Alt-PSM for ArF lithography (Photomask Japan Best Paper)

Shinji Akima; Tooru Komizo; Saburo Kawakita; Yutaka Kodera; Tsuyoshi Narita; Kiichi Ishikawa

The increase of MEEF(Mask Error Enhancement Factor) as well as the life prolonging of the ArF lithography with low k1 makes the demand for the mask quality more and more severe . Alt-PSM (Alternating Phase-Shifting Mask) is one of the most effective approaches to the resolution improvements of the ArF lithography. In addition, the improvement of MEEF can be expected in Alt-PSM . In this study, firstly Alt-PSM was manufactured containing programmed phase defects. The programmed phase defects are variable type and multiple phase angles. The phase differences of these defects are 180,120 and 60degree. Two types of chrome line width were placed (280nm and 400nm) with four different pitches (1:1.1:1.5,1:2,1:5). Two programmed phase defects type (divot and bump) placed on isolated and on edge. The printability of the phase defect was evaluated by using Aerial Image Measurement System (AIMS-fab193 of Carl Zeiss Co.) In this study, the printable defect was defined to be a defect, which CD error size is within +/-5% and DOF was +/-100nm on wafer. And, the defect detection capability was confirmed by using TeraScan( KLA-Tencor Co.) Finally, the real existence situation of the natural phase defect in imitated 65nm node production mask was estimated by using TeraScan with optimized inspection condition. In addition, the detected phase defect verified the printability. As a result of this verification, it turned out that a practical inspection was possible of Alt-PSM for 65nm technology node.


Photomask and next-generation lithography mask technology. Conference | 2003

Phase defect printability of alternating phase shift masks for ArF lithography

Nobuyuki Ohba; Kiichi Ishikawa; Mikio Katsumata; Hidetoshi Ohnuma

The impact of phase defect printability on a wafer in alternating phase shift masks (alt-PSM) was investigated. The Alt-PSM is a promising resolution-enhancement technique for extending optical lithography to a finer design rule. One of the important issues in the practical use of alt-PSMs is the realization of defect-free mask manufacturing. In order to investigate the effect of phase defects on wafer printability, CD error on a wafer was evaluated using ArF exposure experiments and 3D simulations. The alt-PSM of a single-trench structure with undercut was selected. In comparison of exposure and simulation results, it is shown that the necessity for taking phase defect topography into consideration strictly in 3D simulation. From the results of the optimized simulations, allowable defect sizes of bump center and edge were 55 and 85 nm, where the phase difference was 180 degrees. The defect size of divot center and edge were 200 and 100 nm, where the phase difference was 180 degrees. Also, the present inspection sensitivities of phase defects is checked by the critical defect sizes of alt-PSM.


19th Annual Symposium on Photomask Technology | 1999

Fabrication process of Cr-based attenuated phase-shift masks for KrF excimer laser lithography

Ichiro Kagami; Kiichi Ishikawa; Daichi Kakuta; Hiroichi Kawahira

We have developed a Cr-based attenuated phase shift mask process for 0.18 micrometer device generation. The fabrication process including formation of opaque patterns with an electric conductive material is introduced. With a Cr-based attenuated phase shifter material, it is possible to adjust phase angle using a post process of quartz etching after mask defect inspection. Phase and transmittance control satisfied requirements for attenuated phase shift masks (att-PSMs) of 0.18 micrometer generation. Preliminary investigation of printability for after repair of a small clear defect with a conventional focus ion beam (FIB) carbon deposition film is reported. A positive carbon deposition bias is effective to obtain a larger common ED-window, and a more feasible ED- window can be obtained with a thinner carbon deposition film. Such a clear defect repair technique is indispensable in att- PSM fabrication.


Archive | 2003

Mask inspection method, mask defect inspection system, and method of production of mask

Kiichi Ishikawa


Proceedings of SPIE, the International Society for Optical Engineering | 2005

A novel strategy of lithography-error-budget optimization for the 65-nm node: mask specifications for hyper-NA imaging

Kazuya Iwase; Kiichi Ishikawa; Koichi Takeuchi; Ken Ozawa; Fumikatsu Uesawa

Collaboration


Dive into the Kiichi Ishikawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge