Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yosuke Kojima is active.

Publication


Featured researches published by Yosuke Kojima.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Through-pitch and through-focus characterization of AAPSM for ArF immersion lithography

Toshio Konishi; Yosuke Kojima; Yoshimitsu Okuda; Vicky Philipsen; Leonardus Leunissen; Lieve Van Look

Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good performance due to the high image contrast and the small mask error enhancement factor (MEEF). For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production application. The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different depending on the pitch. In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer printing, AIMS, and simulation.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Study and improvement approach to 193-nm radiation damage of attenuated phase-shift mask

Yoshifumi Sakamoto; Tomohito Hirose; Hitomi Tsukuda; Taichi Yamazaki; Yosuke Kojima; Hayato Ida; Takashi Haraguchi; Tsuyoshi Tanaka; Ryuji Koitabashi; Yukio Inazuki; Hiroki Yoshikawa

The exposure tools have been advanced for finer patterns and higher throughput. However, it causes the increase of accumulation of exposure dose on mask, which induces the mask CD growth. This issue has been reported as the radiation damage and brought the low yield of device chips [1, 2, 3]. As the solution, the radiation damage can be reduced by the ultra extreme dry air in exposure tool [4]. It is difficult to adopt dry air to all exposure tool due to cost. In this work, we tried to solve the radiation damage from photomask making approach. The attenuated phase-shift mask (att. PSM) was chosen for this evaluation because its damage is severest. The test plates of att. PSM were exposed by ArF laser, and the amount of CD degradation and the composition change in damage area were investigated. By the analyses of TEM and EDX, it was confirmed that the root cause of radiation damage is oxidation of MoSi film. Therefore, the approaches from mask process and material were tried to prevent MoSi film from oxidation. As a result, the approach from mask material, especially modification of MoSi film is effective. And the characteristics of new MoSi film, such as CD performances, cross section, and cleaning durability, were compared with conventional att. PSM. These results show the characteristics of two masks are equivalent. Att. PSM with new MoSi film is promising solution to improve radiation damage.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

Yosuke Kojima; Masanori Shirasaki; Kazuaki Chiba; Tsuyoshi Tanaka; Yukio Inazuki; Hiroki Yoshikawa; Satoshi Okazaki; Kazuya Iwase; Kiichi Ishikawa; Ken Ozawa

For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Study of alternating phase shift mask structures for ArF lithography

Yosuke Kojima; Toshio Konishi; Jun Sasaki; Keishi Tanaka; Toru Komizo; Motohiko Morita; Masanori Shirasaki; Takashi Ohshima; Hiroyuki Takahashi; Kazuaki Chiba; Masao Otaki; Yoshimitsu Okuda

The alternating phase-shift mask (alt. PSM) is one of the most effective approaches to improve a resolution of the 65nm logic gate structure in ArF lithography. Previously we have studied the optimization of alt. PSM in 180nm gate-pitch. In this study, we evaluated various alt. PSM in the case of 160nm gate-pitch. Using a rigorous electro-magnetic field simulation of light scattering in 3D mask topographies, we evaluated CD difference between π-phase and 0-phase space size (the π-0 CD difference), resist CD through pitch and normalized image log-slope (NILS). The parameters for our simulation were mask structure (shallow trench depth (ST), undercut size (UC), space bias, Chrome (Cr) CD, pitch, phase shift depth) and ArF exposure condition (NA, sigma, defocus). From the results of simulation, it turned out that single trench structures with UC and/or space bias showed the good intensity balance through defocus. We compared the simulation results with the AIMS fab193 (Carl Zeiss) results and found there was no large difference. The combination of UC and space bias could be chosen as suitable structure for 160nm gate-pitch.


SPIE Photomask Technology | 2011

Attenuated phase-shift mask with high tolerance for 193nm radiation damage

Taichi Yamazaki; Ryohei Gorai; Yosuke Kojima; Takashi Haraguchi; Tsuyoshi Tanaka; Ryuji Koitabashi; Yukio Inazuki; Hiroki Yoshikawa

In the semiconductor technology using the 193nm ArF excimer laser, the problem of radiation damage on photomask becomes more serious. This phenomenon is regarded as serious issue for semiconductor device fabrication. Some approaches have been tried to prevent the radiation damage. One of reports indicates that the radiation damage can be reduced by using an exposure tool with ultra clean extreme dry air [1]. However, it is difficult to adopt dry air into all exposure tools due to high cost. In our previous work, two facts were ascertained; radiation damage is caused by MoSi film oxidation, and depends on MoSi film composition [2]. In this paper, radiation damage was tried to decrease by MoSi film modification of att. PSM. MoSi film composition for PSM is optimized in consideration of cleaning durability, mask defect repair and processability. The new PSM is named AID (Anti Irradiation Damage). Radiation damage of AID PSM can be improved by 40[%] from conventional PSM. Cleaning durability can be also improved by AID PSM. The other evaluation items such as CD performance, cross section, defect level and repair, are equal between the AID PSM and conventional one. Additionally, the lithography performances by simulation of AID PSM are equivalent with that of conventional PSM. Therefore, it can be expected that there is no difficulty in converting conventional PSM into AID PSM. From these evaluation results, development of AID PSM was completed, and preparation for production is now going.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Improvement of CD variation control for attenuated phase-shift mask

Mikio Takagi; Takashi Mizoguchi; Yosuke Kojima; Tadashi Saga; Takashi Haraguchi; Yuichi Fukushima; Tsuyoshi Tanaka; Yoshimitsu Okuda; Yukio Inazuki; Hiroki Yoshikawa; Satoshi Okazaki

As the required accuracy of the mask arises, Cr shading film thickness has been thinner gradually. CD linearity with the thinner Cr film thickness has better performance. However, it is difficult to apply thinner Cr film thickness simply under the condition of OD > 3, which is needed for wafer printing. So, we tried to develop new shading film. We adopted MoSi film, because MoSi film has almost no micro loading effect compared with Cr film. MoSi shading film with att.PSM satisfied OD > 3 at 193nm wavelength with good resist profile. But the issue was dry-etching selectivity, because shading layer material was the same of att. PSM layer material. Therefore super thin Cr etching stopper was inserted between MoSi shading layer and MoSi att.PSM layer. The mask CD performance of new blank was evaluated for CD linearity, CD through pitch, and global loading effect. This blank and mask process reduce loading effect, therefore the mask CD performance is improved remarkably. In conclusion, the mask manufacturing process margin was able to be expanded by this new blank and method, and it is expected that we can achieve the required specifications for att.PSM in 45nm node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Performance study of chromeless phase lithography mask for the 65nm node and beyond

Yosuke Kojima; Takashi Ohshima; Kazuaki Chiba; Toshio Konishi

Chromeless Phase Lithography (CPL) is one of resolution enhancement technologies (RET) for 65nm node and beyond. CPL has various advantages such as no necessity of double exposure, and small pattern displacement and CD error caused by the intensity imbalance. The high resolution lithography can be expected with the combination of high NA and off-axis illumination (OAI) in 193nm lithography. It is known that CPL can flexibly change structure through gate pitch. There are various kinds of structure, such as pure CPL, Zebra, Rim, and Stripe. And there are also various kinds of scattering bar depending on the gate pitch. In this paper, we estimated normalized image log-slope (NILS), mask error enhancement factor (MEEF), depth of focus (DOF) and phase shift depth for each CPL structure by rigorous 3D mask electro-magnetic field (EMF) simulation on mask topographies. And it was found that Zebra and Stripe can improve NILS, and Stripe is most effective to improve MEEF for narrow pitch. There is no large difference in DOF between all structures, and DOF for all structures with wide pitch can be expanded by the addition of chrome scattering bar. We evaluated the impact of phase shift depth and found that the optimal phase shift depths of all structures are larger than 180degrees. The improvement of mask-making accuracy becomes more important to achieve better mask pattern resolution. Therefore, we focused on the defects of the sub-resolution chrome feature and chrome scattering bar. It was found that the defects of sub-resolution chrome feature have big influences on the lithography performance. And the defects of scattering bars become more sensitive with closer to the main feature.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Fine pattern fabrication property of binary mask and attenuated phase shift mask

Taichi Yamazaki; Yosuke Kojima; Mitsuharu Yamana; Takashi Haraguchi; Tsuyoshi Tanaka

For 45nm and 32nm node technology, the challenges for resolution and CD control of mask patterns become the steeper mountain path. Especially, Sub Resolution Assist Feature (SRAF) is the smallest pattern on mask and amplifies the difficulty of mask fabrication. In order to improve the resolution of fine patterns, the influence of wet processing cannot be neglected, because it causes the pattern collapsing. Wet processing of mask-making can be divided into resist development and cleaning. In this study, the root causes of pattern collapsing are investigated at each wet processing. It is confirmed that thin resist can enhance the resolution limit of resist pattern and hard-mask blank, such as OMOG: Opaque MoSi On Glass, is suitable for thinner resist under 1500A. The pattern collapsing of OMOG is compared with that of Att.PSM at the cleaning before and after Cr stripping. Mask inspection finds that pattern collapsing can be suppressed by OMOG at both cleanings. It is because OMOG has lower cleaning stress than Att.PSM due to lower aspect-ratio. This benefit is demonstrated by cleaning stress simulation. Additionally, it is found that the SRAF size of OMOG can be wider than Att.PSM by optical simulation. From these results, OMOG has much advantage of fine pattern fabrication and is the optimal blank for 32nm node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Study of etching process for LER and resolution

Tomohiro Imoto; Yosuke Kojima; Norihito Fukugami; Takashi Haraguchi; Tsuyoshi Tanaka

The miniaturization of pattern size on photomask is advanced year by year. It becomes more important to improve Line Edge Roughness (LER) and resolution because of their impacts on lithography performances. When miniaturization is advanced, high sensitivity inspection is also indispensable. Therefore, LER becomes the key factor to reduce the nuisance defect for high sensitivity mask inspection. Basically, LER originates from resist materials and EB writer. If resist pattern LER is good, final pattern LER can be good too. One of the easiest solutions for LER is using thick resist. Thick resist can vertically smooth down the LER. However, it deteriorates resolution due to the high aspect-ratio. Another solution for LER is using low sensitivity resist. Low sensitivity resist needs many electron exposures by EB writer. Therefore, electronic density of EB pattern increases and pattern edge becomes clear. However, it deteriorates throughput, which is essential to production. Only by mask resist, it is difficult to satisfy all items, that is mask LER, resolution and throughput. In this study, the improvement of LER without deterioration of resolution is tried by dry etching process. It is found that remaining resist after Cr etching has its limitation for mask LER. And Cr over etching and source power of Cr and MoSi etching are effective factors for mask LER. On the basis of these results, the optimal etching process is determined. It is confirmed that mask LER can be improved without deterioration of resolution by the optimal etching process.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

An evaluation of a new side-wall-angle measurement technique for mask patterns by CD-SEM

Hidemitsu Hakii; Isao Yonekura; Masashi Kawashita; Yosuke Kojima; Yoshifumi Sakamoto; Keishi Tanaka

The verification of not only two-dimensional feature but also three-dimensional feature, sidewall angle (SWA), has been becoming increasingly important in NGL mask fabrication. The OMOG (Opaque MoSi on Glass) mask for ArF immersion lithography with double patterning and the reflective type mask for EUV (Extreme Ultra- Violet) lithography are especially in need of it. There are several metrology tools e.g. SEM, AFM, and Scatterometry for sidewall angle (SWA) measurement. We evaluated a new SWA measurement method using white-band width (WBW), which is equivalent to mask pattern edge width, by CD-SEM. In general, WBW correlates with SWA. It narrows as SWA becomes steeper. However, the correlation deteriorates when SWA is vertically near. This is due to the resolution limit of electron beam diameter used for measurement. We analyzed the new approach to measure SWA by CD-SEM to solve this problem. And the analysis revealed that WBW changes proportionately electron beam current value. The amount of width change depends on SWA. In this paper, we will describe the new SWA measurement method and its evaluation results as well as SWA measurement results of OMOG and EUV masks.

Collaboration


Dive into the Yosuke Kojima's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yuichi Fukushima

MITSUBISHI MATERIALS CORPORATION

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yukio Inazuki

East Tennessee State University

View shared research outputs
Researchain Logo
Decentralizing Knowledge