Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kurt H. Junker is active.

Publication


Featured researches published by Kurt H. Junker.


Journal of Materials Research | 2001

Effect of material properties on integration damage in organosilicate glass films

E. Todd Ryan; Jeremy I. Martin; Kurt H. Junker; Jeff Wetzel; David W. Gidley; Jianing Sun

Most organosilicate glass1 (OSG), low dielectric constant (low-k) films contain Si–R groups, where R is an organic moiety such as –CH3. The organic component is susceptible to the chemically reactive plasmas used to deposit cap layers, etch patterns, and ash photoresist. This study compares a spin-on, mesoporous OSG film with a completely connected pore structure to both its nonmesoporous counterpart and to another low-density OSG film deposited by plasma-enhanced chemical vapor deposition. The results show that the film with connected pores was much more susceptible to integration damage than were the nonmesoporous OSG films. As integrated circuit device and interconnect dimensions continue to scale smaller, low dielectric constant (k) interlayer dielectric (ILD) materials will become necessary to mitigate RC (product of resistance and capacitance) propagation delay and reduce power consumption and crosstalk. Lowering the k-value of a material requires either altering the chemical bonding to reduce the bond polarizability or decreasing the number of bonds (density) in a material. To reduce the k-value below 2.2, most dielectric materials will require a density decrease by introducing free volume (micropores < 2 nm in diameter) or mesoporosity (2–50 nm diameter pores). Unfortunately, lowering the density also compromises the mechanical strength and other properties of the material. The material properties of mesoporous dielectric films, such as connected pores and low mechanical strength, create a host of integration problems including integration damage to the film. The Si–R groups make organosilicate glass (OSG) films hydrophobic and they lower the density by breaking up the tetrahedral Si–O bonding. However, the carbon component is susceptibleto degradation when exposed to the reactive plasmas used for capping, etching, and ashing processes, especially oxidizing plasmas that induce silanol formation. Such plasma-induced chemical modifications can cause film densification, dangling bonds and defects, and moisture uptake. Recently, International SEMATECH monitored several OSG films for change in k caused by integration damage (ID) while integrating the films into SEMATECH’s Cu/Damascene test chip using their standard processing flow (Table I). Mesoporous OSG films with connected pores exhibited a large increase in k due to ID during integration. In contrast, nonmesoporous OSG films showed much smaller changes in k. Thus, connected mesoporosity appears to facilitate film damage during processing by allowing reactive species to more easily penetrate the film. Various plasma pretreatments (PPT) have been reported to form densified and chemically modified interface layers on OSG films, and these skin layers can prevent film damage by photoresist ash processes. This study reports the effects of oxygen and nitrogenbased plasmas on one mesoporous and two nonmesoporous blanket films. To our knowledge, this is the first direct demonstration that a mesoporous film is more susceptible to ID than its nonmesoporous counterpart. OSG-1 is a proprietary spin-on mesoporous methylsilsesquioxane (MSQ)-based film where porosity is created by thermal decomposition of a porogen that is incorporated into the MSQ-based film. The mesoporosity of OSG-1 is about 58%. Positronium annihilation lifetime spectroscopy (PALS) determined that the average pore diameter is 2.5 nm and that the pores are 80–100% connected to the surface of the film. OSG-1 was deposited using a TEL Mark-8 spin-coater and oven-cured at 425 °C. OSG-2 is the nonmesoporous version of OSG-1 deposited with the same MSQ-based precursor but without the porogen. OSG-2 was spin-deposited and cured for less time at 450 °C by the supplier. The MSQ-based precursor for both OSG-1 and OSG-2 contains approximately 12% carbon. OSG-3 is a trimethylsilane-based, plasma-enhanced chemical vapor deposited (PECVD) Address all correspondence to this author. e-mail: [email protected]


international interconnect technology conference | 2002

Integration damage in organosilicate glass films

E.T. Ryan; Jeremy I. Martin; Kurt H. Junker; J.J. Lee; T. Guenther; Jeff Wetzel; S. Lin; David W. Gidley; Jianing Sun

This study compares integration damage (ID) to two non-mesoporous organosilicate glass (OSG) films and several mesoporous OSG films with completely connected pores. The results show that the mesoporous OSG films are more susceptible to integration damage than are the non-mesoporous films.


MRS Proceedings | 1999

Integration Challenges of Inorganic Low-K (K≤2.5) Materials with Cu for Sub-0.25µm Multilevel Interconnects

K.C. Yu; J. Defilippi; R. Tiwari; T. Sparks; D. Smith; M. Olivares; S. Selinidis; Jiming Zhang; Kurt H. Junker; G. Braekelmann; J. Farkas; K. S. Lee; S. Filipiak; M. Lindell; J. K. Watanabe; Jeffrey T. Wetzel; D. Jawarani; M. Herrick; Nigel Cave; C. Hobbs; John J. Stankus; R. Mora; M. Freeman; T. Van Gompel; Dean J. Denning; B.W. Fowler; S. Garcia; T. Newton; D. Pena; C. Keyes

The recent introduction of dual inlaid Cu and oxide based interconnects within sub-0.25μm CMOS technology has delivered higher performance and lower power devices. Further speed improvements and power reduction may be achieved by reducing the interconnect parasitic capacitance through integration of low-k interlevel dielectric (ILD) materials with Cu. This paper demonstrates successful multi-level dual inlaid Cu/low-k interconnects with ILD permittivities ranging from 2.0 to 2.5. Integration challenges specific to inorganic low-k and Cu based structures are discussed. Through advanced CMP process development, multi-level integration of porous oxide materials with moduli less than 0.5 GPa is demonstrated. Parametric data and isothermal annealing of these Cu/ low-k structures show results with yield comparable to Cu/oxide based interconnects.


Archive | 2000

UV cure process and tool for low k film formation

Kurt H. Junker; Nicole R. Grove; Marijean E. Azrak


Archive | 2003

Capping layer for reducing amorphous carbon contamination of photoresist in semiconductor device manufacture; and process for making same

Marilyn Irene Wright; Srikanteswara Dakshina-Murthy; Kurt H. Junker; Kyle Patterson


Archive | 2001

Ultraviolet curing process and tool for forming film having low dielectric constant

Marijean E. Azrak; Nicole R. Grove; Kurt H. Junker; カート・エイチ・ジュンカー; ニコル・アール・グローブ; マリージーン・イー・アズラック


MRS Proceedings | 2004

Optimization of Dielectric Cap Adhesion to Ultra-Low-k Dielectrics

Greg Spencer; Alfred Soyemi; Kurt H. Junker; Jason Vires; Michael D. Turner; Stuart Kirksey; David Sieloff; Narayanan Ramani


Archive | 2004

Dispositif semi-conducteur comprenant un revetement antireflechissant organique et procede correspondant

Douglas M. Reber; Mark D. Hall; Kurt H. Junker; Kyle Patterson; Tab A. Stephens; Edward K. Theiss; Srikanteswara Dakshiina-Murthy; Marilyn Irene Wright


Archive | 2004

Halbleiterbauelement mit einer organischen antireflexbeschichtung (arc) und verfahren dafür

Douglas M. Reber; Mark D. Hall; Kurt H. Junker; Kyle Patterson; Tab A. Stephens; Edward K. Theiss; Srikanteswara Dakshiina-Murthy; Marilyn Irene Wright


Archive | 2001

UV-Härtungsverfahren zur Erzeugung eines Films mit geringem k-Wert& x9; UV-curing to form a film with a low k-value & x9;

Kurt H. Junker; Nicole R. Grove; Marijean E. Azrak

Collaboration


Dive into the Kurt H. Junker's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jianing Sun

University of Michigan

View shared research outputs
Researchain Logo
Decentralizing Knowledge