Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jeremy I. Martin is active.

Publication


Featured researches published by Jeremy I. Martin.


Journal of Materials Research | 2001

Effect of material properties on integration damage in organosilicate glass films

E. Todd Ryan; Jeremy I. Martin; Kurt H. Junker; Jeff Wetzel; David W. Gidley; Jianing Sun

Most organosilicate glass1 (OSG), low dielectric constant (low-k) films contain Si–R groups, where R is an organic moiety such as –CH3. The organic component is susceptible to the chemically reactive plasmas used to deposit cap layers, etch patterns, and ash photoresist. This study compares a spin-on, mesoporous OSG film with a completely connected pore structure to both its nonmesoporous counterpart and to another low-density OSG film deposited by plasma-enhanced chemical vapor deposition. The results show that the film with connected pores was much more susceptible to integration damage than were the nonmesoporous OSG films. As integrated circuit device and interconnect dimensions continue to scale smaller, low dielectric constant (k) interlayer dielectric (ILD) materials will become necessary to mitigate RC (product of resistance and capacitance) propagation delay and reduce power consumption and crosstalk. Lowering the k-value of a material requires either altering the chemical bonding to reduce the bond polarizability or decreasing the number of bonds (density) in a material. To reduce the k-value below 2.2, most dielectric materials will require a density decrease by introducing free volume (micropores < 2 nm in diameter) or mesoporosity (2–50 nm diameter pores). Unfortunately, lowering the density also compromises the mechanical strength and other properties of the material. The material properties of mesoporous dielectric films, such as connected pores and low mechanical strength, create a host of integration problems including integration damage to the film. The Si–R groups make organosilicate glass (OSG) films hydrophobic and they lower the density by breaking up the tetrahedral Si–O bonding. However, the carbon component is susceptibleto degradation when exposed to the reactive plasmas used for capping, etching, and ashing processes, especially oxidizing plasmas that induce silanol formation. Such plasma-induced chemical modifications can cause film densification, dangling bonds and defects, and moisture uptake. Recently, International SEMATECH monitored several OSG films for change in k caused by integration damage (ID) while integrating the films into SEMATECH’s Cu/Damascene test chip using their standard processing flow (Table I). Mesoporous OSG films with connected pores exhibited a large increase in k due to ID during integration. In contrast, nonmesoporous OSG films showed much smaller changes in k. Thus, connected mesoporosity appears to facilitate film damage during processing by allowing reactive species to more easily penetrate the film. Various plasma pretreatments (PPT) have been reported to form densified and chemically modified interface layers on OSG films, and these skin layers can prevent film damage by photoresist ash processes. This study reports the effects of oxygen and nitrogenbased plasmas on one mesoporous and two nonmesoporous blanket films. To our knowledge, this is the first direct demonstration that a mesoporous film is more susceptible to ID than its nonmesoporous counterpart. OSG-1 is a proprietary spin-on mesoporous methylsilsesquioxane (MSQ)-based film where porosity is created by thermal decomposition of a porogen that is incorporated into the MSQ-based film. The mesoporosity of OSG-1 is about 58%. Positronium annihilation lifetime spectroscopy (PALS) determined that the average pore diameter is 2.5 nm and that the pores are 80–100% connected to the surface of the film. OSG-1 was deposited using a TEL Mark-8 spin-coater and oven-cured at 425 °C. OSG-2 is the nonmesoporous version of OSG-1 deposited with the same MSQ-based precursor but without the porogen. OSG-2 was spin-deposited and cured for less time at 450 °C by the supplier. The MSQ-based precursor for both OSG-1 and OSG-2 contains approximately 12% carbon. OSG-3 is a trimethylsilane-based, plasma-enhanced chemical vapor deposited (PECVD) Address all correspondence to this author. e-mail: [email protected]


international interconnect technology conference | 2002

Integration of SiCN as a low /spl kappa/ etch stop and Cu passivation in a high performance Cu/low /spl kappa/ interconnect

Jeremy I. Martin; Stan Filipiak; Tab Stephens; Fred Huang; Massud Aminpur; Judith Mueller; Ertugrul Demircan; Larry Zhao; Jim Werking; Cindy Goldberg; Steve Park; Terry G. Sparks; Christine Esber

This paper describes the integration of a silicon carbon nitride (SiCN) copper passivation and etch stop layer into a Cu low k dielectric interconnect technology. The incorporation of SiCN improves interconnect performance by virtue of its lower dielectric constant as compared to silicon nitride, and through changes to the process integration made possible by the improved etch selectivity and good copper interface properties.


Journal of The Electrochemical Society | 2007

Line Resistance and Electromigration Variations Induced by Hydrogen-Based Plasma Modifications to the Silicon Carbonitride/Copper Interface

E. Todd Ryan; Jeremy I. Martin; Griselda Bonilla; Ste Ven Molis; Terry A. Spooner; Johnny Widodo; Jae-Hak Kim; E. Liniger; Alfred Grill; Chao-Kun Hu

This paper reports a detailed study of several hydrogen-based plasma cleans prior to plasma-enhanced chemical vapor deposition of silicon carbonitride cap films, and it finds a tradeoff between improved electromigration and increased copper resistivity. Previously proposed mechanisms do not explain this tradeoff, and we propose an alternative mechanism for the cap/copper interface modification. Electromigration is improved by forming a copper silicide interfacial layer, but the copper resistivity is also increased by silicon diffusion into the copper from the cap/copper interface. Hydrogen-based plasmas generate silicon by reacting with the silicon nitride seasoning layer on the chamber surfaces and transporting the silicon to the copper surface. The transport of silicon can be prevented by adding nitrogen to the plasma or removing the seasoning layer.


Applied Physics Letters | 2003

Calculation of effective dielectric constants for advanced interconnect structures with low-k dielectrics

Seung-Hyun Rhee; Martin Radwin; Man Fai Ng; Jeremy I. Martin; Darrell M. Erb

Effective dielectric constants of advanced interconnects with low-k and ultra-low-k dielectrics were evaluated by two-dimensional capacitance analysis. The analysis was performed for interconnect design rules proposed for 65 nm node high-performance integration. Interconnects with various pitches and integration schemes were examined, and the effects of supporting dielectric layers including cap layer, chemical mechanical polishing stop layer, and etch stop layer were evaluated. The results indicated that the use of the supporting layers greatly affects the effective dielectric constant of interconnect structures. The impacts of the supporting dielectric layers on the effective dielectric constant were evaluated quantitatively, and the implications on back-end-of-line integration schemes were discussed.


international interconnect technology conference | 2002

Integration damage in organosilicate glass films

E.T. Ryan; Jeremy I. Martin; Kurt H. Junker; J.J. Lee; T. Guenther; Jeff Wetzel; S. Lin; David W. Gidley; Jianing Sun

This study compares integration damage (ID) to two non-mesoporous organosilicate glass (OSG) films and several mesoporous OSG films with completely connected pores. The results show that the mesoporous OSG films are more susceptible to integration damage than are the non-mesoporous films.


Angewandte Chemie | 2006

Mechanical and dielectric properties of pure-silica-zeolite low-k materials.

Zijian Li; Mark Johnson; Minwei Sun; E. Todd Ryan; David J. Earl; Wolfgang Maichen; Jeremy I. Martin; Shuang Li; Christopher M. Lew; Junlan Wang; Michael W. Deem; Mark E. Davis; Yushan Yan


Archive | 2000

NH3/N2-plasma treatment to prevent organic ILD degradation

Minh Van Ngo; Dawn M. Hopper; Jeremy I. Martin


Archive | 2000

Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch

Paul R. Besser; Spikantewara Dakshina-murthy; Jeremy I. Martin; Jonathan B. Smith; Eric M. Apelgren


Archive | 2002

Copper damascene with low-k capping layer and improved electromigration reliability

Minh Van Ngo; Jeremy I. Martin; Hartmut Ruelke


Archive | 2002

Resist trim process to define small openings in dielectric layers

Srikanteswara Dakshina-Murthy; Paul R. Besser; Jonathan B. Smith; Eric M. Apelgren; Christian Zistl; Jeremy I. Martin; Lie Larry Zhao; Nicholas J. Kepler

Collaboration


Dive into the Jeremy I. Martin's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Larry Zhao

Advanced Micro Devices

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge