Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Linda K. Sundberg is active.

Publication


Featured researches published by Linda K. Sundberg.


Advances in Resist Technology and Processing XXI | 2004

Liquid immersion lithography: evaluation of resist issues

William D. Hinsberg; Gregory M. Wallraff; Carl E. Larson; Blake Davis; Vaughn R. Deline; Simone Raoux; Dolores C. Miller; Frances A. Houle; John A. Hoffnagle; Martha I. Sanchez; C. T. Rettner; Linda K. Sundberg; David R. Medeiros; Ralph R. Dammel; Willard E. Conley

We address in this report a set of key questions tied to the implementation of liquid immersion lithography, from the perspective of the resist materials. We discuss the broad question of whether chemically amplified resists are capable of achieving the spatial resolution that ultimately will be required for the most advanced immersion scenario. Initial studies undertaken using model 193 nm resist materials provide some insight into how an aqueous liquid immersion process can affect the resist material.


Proceedings of SPIE | 2007

Fluoro-alcohol materials with tailored interfacial properties for immersion lithography

Daniel P. Sanders; Linda K. Sundberg; Ratnam Sooriyakumaran; Phillip J. Brock; Richard Anthony DiPietro; Hoa D. Truong; Dolores C. Miller; Margaret C. Lawson; Robert D. Allen

Immersion lithography has placed a number of additional performance criteria on already stressed resist materials. Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion lithography. Achieving the delicate balance between the low surface energies required for high water contact angles (generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties such as water contact angle, aqueous base contact angle, and dissolution rate.


Chemical Communications | 2003

Versatile synthesis of nanometer sized hollow silica spheres

Jeroen J. Cornelissen; Eric F. Connor; Ho-Cheol Kim; Victor Y. Lee; Teddie Magibitang; Philip M. Rice; Willi Volksen; Linda K. Sundberg; Robert D. Miller

Using the controlled precipitation of silicic acid on functionalized polystyrene latexes, nanometer sized silica-coated spheres could be prepared and subsequently modified to allow dispersion in non-aqueous solvents; removal of the interior polymer by calcination resulted in the formation of hollow silica spheres.


Proceedings of SPIE | 2008

Integration of polymer self-assembly for lithographic application

Joy Cheng; Daniel P. Sanders; Ho-Cheol Kim; Linda K. Sundberg

Directed polymer self-assembly which combines lithographically defined substrates and self-assembled polymers has been considered as a potential candidate to extend conventional patterning techniques. In the past few years, successful demonstration of directed self-assembly of block copolymer shows that this method can afford sub-lithographic resolution or enhances dimensional control. However, integration of polymer self-assembly into standard lithographic processes remains a challenge and requires new materials. In this paper, we demonstrate robust and thermally crosslinked underlayer materials which control the orientation of block copolymer assemblies and are compatible with standard lithographic processes. These new materials allow simple integration of perpendicularly oriented polystyrene-b- polymethylmethacrylate (PS-b-PMMA) domains into standard manufacturing processes.


Proceedings of SPIE | 2008

Self-segregating materials for immersion lithography

Daniel P. Sanders; Linda K. Sundberg; Phillip J. Brock; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Gregory McIntyre; Dario L. Goldfarb

In this paper, we employ the self-segregating materials approach used in topcoat-free resists for water immersion lithography to extend the performance of topcoat materials for water immersion and to increase the contact angles of organic fluids on topcoat-free resists for high index immersion lithography. By tailoring polymers that segregate to the air and resist interfaces of the topcoat, high contact angle topcoats with relatively low fluorine content are achieved. While graded topcoats may extend the performance and/or reduce the cost of topcoat materials, the large amount of unprotected acidic groups necessary for TMAH development prevent them from achieving the high contact angles and low hysteresis exhibited by topcoat-free resists. Another application of this self-segregating approach is tailoring resist surfaces for high index immersion. Due to the low surface tension and higher viscosities of organic fluids relative to water and their lower contact angles on most surfaces, film pulling cannot be prevented without dramatically reducing wafer scan rates; however, tuning the surface energy of the resist may be important to control stain morphology and facilitate fluid removal from the wafer. By tailoring fluoropolymer additives for high contact angles with second generation organic high index immersion fluids, we show herein that topcoat-free resists can be developed specifically for high index immersion lithography with good contact angles and lithographic imaging performance.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Advances in resist technology and processing. Conference | 2005

Evaluation of functional properties of imaging materials for water immersion lithography

William D. Hinsberg; John A. Hoffnagle; Gregory M. Wallraff; Carl E. Larson; Frances A. Houle; Linda K. Sundberg; Hoa D. Truong; Blake Davis; Robert D. Allen

The introduction of an immersion fluid in contact with the resist-coated substrate is, from the perspective of the resist material, a significant departure from the conventional lithographic process. The impact of this modification on the lithographic imaging materials is as yet only poorly characterized. We report the results of studies aimed at an improved understanding of how immersion in water during exposure influences the functional properties of films of lithographic materials. We have evaluated the water permeability of candidate immersion topcoat materials; the role of immersion topcoat materials in reducing airborne contamination and water-resist interactions; the impact of water immersion on image blur in chemically amplified resists; and high-resolution imaging of candidate immersion resist materials under conditions of poor aerial image contrast. Analytical techniques such as QCM and reflectance analysis of thin films, DUV interferometric immersion lithography, and trace organic analysis are applied in this work.


Advances in resist technology and processing. Conference | 2005

Studies of acid diffusion in low Ea chemically amplified photoresists

Gregory M. Wallraff; David R. Medeiros; Carl E. Larson; Martha I. Sanchez; Karen Petrillo; Wu-Song Huang; C. T. Rettner; Blake Davis; Linda K. Sundberg; William D. Hinsberg; Frances A. Houle; John A. Hoffnagle; Dario L. Goldfarb; Karen Temple; J. Bucchignano

Critical lithographic dimensions will soon place particularly severe demands on the performance of chemically amplified (CA) resists. Although Extreme Ultraviolet (EUV) and 193 nm (immersion interferometric) lithographic results have demonstrated half pitch imaging down to 35 nm there is nonetheless a concern that image blur due to acid diffusion will begin to seriously impact the utility of CA photoresists. Previously we demonstrated that low activation energy resists and E-Beam lithography can be used to print line/space arrays with resolution approaching 20 nm. We described the factors impacting the reactivity of ketal/pHOST based resists and compared the attainable resolution under different processing conditions. In this report we describe studies on acid diffusion emphasizing the role of water in low Ea systems. We also discuss methods for the control of water absorption in low Ea resists.


Proceedings of SPIE | 2010

A method to characterize pattern density effects: chemical flare and develop loading

Linda K. Sundberg; Gregory M. Wallraff; Alexander Friz; Amy E. Zweber; Zdenek Benes; Robert D. Lovchik; Emmanuel Delamarche; William D. Hinsberg

Many recent publications have highlighted pattern density effects as a problem in both electron-beam and optical lithography. These effects are manifested as a systematic variation in critical dimension as a function of position on the wafer. It is becoming an increasing problem as the pattern density and diminishing critical dimensions are needed for production nodes 32nm and beyond. One potential source of pattern density effects is acid volatility, where acid is presumed to redeposit during exposure or bake; here we refer to this effect as chemical flare. Another source of density effects is develop loading which refers to the impact of local depletion of developer in highly exposed regions. Both develop loading and chemical flare can cause deviations in feature size that may be difficult to correct for by adjustment of the exposure process. Here we describe a method that allows the detrimental effects of chemical flare and develop loading to be separately characterized. The method makes use of arrays of 248 nm exposure sites and a controlled develop process within a custom liquid flowcell; this combination enables a systematic study of these effects.


Proceedings of SPIE | 2012

Conductive layer for charge dissipation during electron-beam exposures

Luisa D. Bozano; Ratnam Sooriyakumaran; Takayuki Nagasawa; Satoshi Watanabe; Yoshio Kawai; Shinpei Kondo; Jun Kotani; Masayuki Kagawa; Linda K. Sundberg; Martha I. Sanchez; Elizabeth Lofano; C. T. Rettner; Tasuku Senna; Thomas B. Faure

Electron beam resists develop a surface potential during exposure, which can lead to image placement errors of up to several nanometers [1] and result in poor CD uniformity and image quality. To address this problem, we have synthesized a conductive polymer that can be coated onto a resist. Our conductive discharge layer (CDL) is water-soluble and is easily removed during subsequent processing steps. Having established that our material has a low enough resistance for full charge dissipation, we have carried out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these findings, which include measurements of the effect of the CDL on the resolution, roughness, and speed of the resist.

Researchain Logo
Decentralizing Knowledge