Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daniel P. Sanders is active.

Publication


Featured researches published by Daniel P. Sanders.


Journal of the American Chemical Society | 2010

A Simple and Efficient Synthesis of Functionalized Cyclic Carbonate Monomers Using a Versatile Pentafluorophenyl Ester Intermediate

Daniel P. Sanders; Kazuki Fukushima; Daniel J. Coady; Alshakim Nelson; Masaki Fujiwara; Manabu Yasumoto; James L. Hedrick

An improved two-step synthetic route to functionalized cyclic carbonate monomers that features a novel cyclic carbonate intermediate with an active pentafluorophenyl ester group (MTC-OPhF(5)) has been developed. The versatile pentafluorophenyl ester intermediate can be synthesized on the gram to kilogram scale in one high-yielding step and is easy to store and handle on the benchtop. The active pentafluorophenyl ester of MTC-OPhF(5) is amenable to further substitution with suitable nucleophiles such as alcohols and amines to generate functionalized cyclic carbonates in high yields. The substitution reaction is tolerant of a wide variety of functionalities, including various hydrophobic and hydrophilic groups, reactive functionalities (via thiol-ene click chemistry or alkyl halides), and protected acids, alcohols, thiols, and amines. In view of the ever-increasing need for biodegradable and biocompatible polymers, this new methodology provides a simple and versatile platform for the synthesis of new and innovative materials.


Proceedings of SPIE | 2011

Self-assembly patterning for sub-15nm half-pitch: a transition from lab to fab

Christopher Dennis Bencher; Jeffrey Smith; Liyan Miao; Cathy Cai; Yongmei Chen; Joy Cheng; Daniel P. Sanders; Melia Tjio; Hoa D. Truong; Steven J. Holmes; William D. Hinsberg

Directed self-assembly is an emerging technology that to-date has been primarily driven by research efforts in university and corporate laboratory environments. Through these environments, we have seen many promising demonstrations of forming self-assembled structures with small half pitch (<15 nm), registration control, and various device-oriented shapes. Now, the attention turns to integrating these capabilities into a 300mm pilot fab, which can study directed selfassembly in the context of a semiconductor fabrication environment and equipment set. The primary aim of this study is to create a 300mm baseline process of record using a 12nm half-pitch PS-b-PMMA lamellae block copolymer in order to establish an initial measurement of the defect density due to inherent polymer phase separation defects such as dislocations and disclinations.


Angewandte Chemie | 2009

Hydrogen-Bonding Catalysts Based on Fluorinated Alcohol Derivatives for Living Polymerization†

Olivier Coulembier; Daniel P. Sanders; Alshakim Nelson; Andrew N. Hollenbeck; Hans W. Horn; Julia E. Rice; Masaki Fujiwara; Philippe Dubois; James L. Hedrick

Recognize this! A hydrogen-bonding motif based on hexafluorinated alcohol derivatives (see picture; O red, F yellow) activates electrophilic substrates. The catalytic activity of the hydrogen-bonded systems was demonstrated for the ring-opening polymerization of a variety of strained heterocycles. Narrowly dispersed polymers with predictable molecular weights were obtained with end-group fidelity.


ACS Applied Materials & Interfaces | 2015

Directed Self-Assembly of Silicon-Containing Block Copolymer Thin Films

Michael J. Maher; C. T. Rettner; Christopher M. Bates; Gregory Blachut; Matthew C. Carlson; William J. Durand; Christopher J. Ellison; Daniel P. Sanders; Joy Cheng; C. Grant Willson

The directed self-assembly (DSA) of lamella-forming poly(styrene-block-trimethylsilylstyrene) (PS-PTMSS, L0=22 nm) was achieved using a combination of tailored top interfaces and lithographically defined patterned substrates. Chemo- and grapho-epitaxy, using hydrogen silsesquioxane (HSQ) based prepatterns, achieved density multiplications up to 6× and trench space subdivisions up to 7×, respectively. These results establish the compatibility of DSA techniques with a high etch contrast, Si-containing BCP that requires a top coat neutral layer to enable orientation.


Proceedings of SPIE | 2012

Directed self-assembly defectivity assessment. Part II

Christopher Dennis Bencher; He Yi; Jessica Zhou; Man-Ping Cai; Jeffrey Smith; Liyan Miao; Ofir Montal; Shiran Blitshtein; Alon Lavi; Kfir Dotan; Huixiong Dai; Joy Cheng; Daniel P. Sanders; Melia Tjio; Steven J. Holmes

The main concern for the commercialization of directed self-assembly (DSA) for semiconductor manufacturing continues to be the uncertainty in capability and control of defect density. Our research investigates the defect densities of various DSA process applications in the context of a 300mm wafer fab cleanroom environment; this paper expands substantially on the previously published DSA defectivity study by reporting a defect density process window relative to chemical epitaxial pre-pattern registration lines; as well as investigated DSA based contact hole shrinking and report critical dimension statistics for the phase separated polymers before and after etch, along with positional accuracy measurements and missing via defect density.


Proceedings of SPIE | 2010

Self-assembling materials for lithographic patterning: overview, status, and moving forward

William D. Hinsberg; Joy Cheng; Ho-Cheol Kim; Daniel P. Sanders

We survey several different approaches wherein self-assembly has been applied in lithographic patterning. As part of this survey, we trace the evolution of block copolymer directed self-assembly used as lithographic technique, and summarize its current status. We compare a process based on block copolymer lithography with an equivalent process based on spacer pitch division. We conclude with a brief discussion of design issues and future research in the field.


ACS Nano | 2013

Pattern Placement Accuracy in Block Copolymer Directed Self-Assembly Based on Chemical Epitaxy

Gregory S. Doerk; Chi-Chun Liu; Joy Cheng; C. T. Rettner; Jed W. Pitera; Leslie E. Krupp; Teya Topuria; Noel Arellano; Daniel P. Sanders

The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-assembled feature registration to guiding templates or chemical prepatterns. Here we report measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling. These measurements are made possible by the use of an inorganic domain-selective prepattern material that may be imaged upon polymer removal after DSA and a prepattern design incorporating a single feature serving as an in situ registration mark that is identifiable by pattern symmetry in both the prepattern and resulting self-assembled pattern. The results indicate that DSA placement error is correlated with average prepattern line width as well as prepattern pitch uniformity. Finally, the magnitude of DSA placement error anticipated for a uniform, optimized prepattern is estimated.


26th Annual International Symposium on Microlithography | 2001

Resist materials for 157-nm microlithography: an update

Raymond J. Hung; Hoang Vi Tran; Brian C. Trinque; Takashi Chiba; Shintaro Yamada; Daniel P. Sanders; Eric F. Connor; Robert H. Grubbs; John M. Klopp; Jean M. J. Fréchet; Brian H. Thomas; Gregory Shafer; Darryl D. DesMarteau; Will Conley; C. Grant Willson

Fluorocarbon polymers and siloxane-based polymers have been identified as promising resist candidates for 157 nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157 nm resist materials based on the first of these two polymer systems. In addition to the 2-hydroxyhexafluoropropyl group, (alpha) -trifluoromethyl carboxylic acids have been identified as surprisingly transparent acidic functional groups. Polymers based on these groups have been prepared and preliminary imaging studies at 157 nm are described. 2-Trifluoromethyl-bicyclo[2,2,1] heptane-2-carboxylic acid methyl ester derived from methyl 2-(trifluoromethyl)acrylate was also prepared and gas-phase VUV measurements showed substantially improved transparency over norbornane. This appears to be a general characteristic of norbornane-bearing geminal electron-withdrawing substituents on the 2 carbon bridge. Unfortunately, neither the NiII nor PdII catalysts polymerize these transparent norbornene monomers by vinyl addition. However, several new approaches to incorporating these transparent monomers into functional polymers have been investigated. The first involved the synthesis of tricyclononene (TCN) monomers that move the bulky electron withdrawing groups further away from the site of addition. The hydrogenated geminally substituted TCN monomer still has far better transparency at 157 nm than norbornane. The second approach involved copolymerizing the norbornene monomers with carbon monoxide. The third approach involved free-radical polymerization of norbornene monomers with tetrafluoroethylene and/or other electron-deficient comonomers. All these approaches provided new materials with encouraging absorbance at 157 nm. The lithographic performance of some of these polymers is discussed.


Polymer Chemistry | 2014

Synthesis of functionalized cyclic carbonate monomers using a versatile pentafluorophenyl carbonate intermediate

Daniel P. Sanders; Daniel J. Coady; Manabu Yasumoto; Masaki Fujiwara; Haritz Sardon; James L. Hedrick

Functionalized cyclic carbonate monomers were synthesized via a two step route featuring a novel cyclic carbonate intermediate possessing a pendent activated pentafluorophenyl carbonate group (MTC-PFPC). The pentafluorophenyl carbonate of MTC-PFPC was selectively substituted with suitable nucleophiles such as alcohols, amines and thiols generating functionalized cyclic carbonates in moderate to high yield.


Proceedings of SPIE | 2007

Fluoro-alcohol materials with tailored interfacial properties for immersion lithography

Daniel P. Sanders; Linda K. Sundberg; Ratnam Sooriyakumaran; Phillip J. Brock; Richard Anthony DiPietro; Hoa D. Truong; Dolores C. Miller; Margaret C. Lawson; Robert D. Allen

Immersion lithography has placed a number of additional performance criteria on already stressed resist materials. Much work over the past few years has shown that controlling the water-resist interface is critical to enabling high scan rates (i.e. throughput) while minimizing film pulling and PAG extraction (i.e. defectivity). Protective topcoat polymers were developed to control the aforementioned interfacial properties and emerged as key enablers of 193 nm immersion lithography. Achieving the delicate balance between the low surface energies required for high water contact angles (generally achieved via the incorporation of fluorinated groups) and the base solubility required for topcoat removal is challenging. More recently, additional strategies using fluoropolymer materials to control the water-resist interface have been developed to afford topcoat-free resist systems. In our explorations of fluoroalcohol-based topcoat materials, we have discovered a number of structure-property relationships of which advantage can be taken to tailor the interfacial properties of these fluorinated materials. This paper will address the effect of structure on immersion specific properties such as water contact angle, aqueous base contact angle, and dissolution rate.

Researchain Logo
Decentralizing Knowledge