Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Lori Anne Joesten is active.

Publication


Featured researches published by Lori Anne Joesten.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Methacrylate resists and antireflective coatings for 193-nm lithography

Gary N. Taylor; Peter Trefonas; Charles R. Szmanda; George G. Barclay; Robert J. Kavanagh; Robert F. Blacksmith; Lori Anne Joesten; Michael J. Monaghan; Suzanne Coley; Zhibiao Mao; James F. Cameron; Ricky Hardy; Dana A. Gronbeck; S. Connolly

Methacrylates were the first class of resist to be examined for use in 193nm lithography. They are still useful today, but have a very different molecular structure because of the requirements for development in 0.262N tetramethyl ammonium hydroxide and high etching resistance. A major driving force for their continued use is the availability of a wide variety of methacrylate monomers and the use of free racial polymerization which imparts a wide range of properties to the polymers and makes them very cost effective.


Proceedings of SPIE | 2009

Materials for single-etch double patterning process: surface curing agent and thermal cure resist

Young Cheol Bae; Yi Liu; Thomas Cardolaccia; John C. McDermott; Peter Trefonas; Ken Spizuoco; Michael T. Reilly; Amandine Pikon; Lori Anne Joesten; Gary G. Zhang; George G. Barclay; Julia Simon; Stéphanie Gaurigan

Two different pattern curing techniques were developed to stabilize first lithographic images for the single-etch double patterning process. The first method uses a surface curing agent (SCA) that is coated on top of the patterned surface to form a protective coating layer during the curing bake process. It was found that the surface curing process with SCA offers minimum CD changes before and after the double patterning process. Virtually no CD change was observed with the first lithographic images at various curing bake temperatures ranging from 120 ~160°C indicating the curing reaction is limited on the patterned surface. The second method uses a thermal cure resist (TCR) that is a special 193nm photoresist with a crosslinkable functional group to form an insoluble network upon heating at higher temperature. A single-step curing process of the first lithographic images was achieved using TCR by baking the patterned images at 180°C for 60sec. A cross-line contact hole double patterning method was used to evaluate these two different curing techniques and both SCA and TCR successfully demonstrated their capability to print 45nm contact holes with excellent CD uniformity in immersion lithography (1.35NA) with a 45nm half pitch mask. It was also confirmed that both SCA and TCR can be extended to the top-coat free immersion double patterning process using an embedded barrier layer technique.


26th Annual International Symposium on Microlithography | 2001

Design and performance of photoresist materials for ArF lithography

Hyun-woo Kim; Dong-Won Jung; Sook Lee; Sang-Jun Choi; Sang-Gyun Woo; Robert J. Kavanagh; George G. Barclay; Robert F. Blacksmith; Doris Kang; Gerd Pohlers; James F. Cameron; Joe Mattia; Stefan Caporale; Thomas Penniman; Lori Anne Joesten; James W. Thackeray

In this paper we review the design and performance of ArF resists developed from various polymer platforms. Inadequate etch performance of early ArF acrylate platforms necessitated the development of new etch resistant platforms, in terms of both etch rate and etch uniformity. Two resist platforms were developed to address etch resistance: 1) alternating copolymers of cyclic olefins and maleic anhydride (COMA); and 2) polycycloolefin polymers (CO). Improvements have been made in the imaging performance of these resists, such that they now approach the lithographic performance of acrylate based resists. Recently, a third platform based on polymerization of vinyl ethers with maleic anhydride (VEMA), which has excellent etch performance, was developed by Samsung. Here we will focus our discussion on acrylate, COMA and VEMA based resists.


Proceedings of SPIE | 2011

Developer effect on the negative tone development process under low NILS conditions

Young Cheol Bae; Seung-Hyun Lee; Lori Anne Joesten; George G. Barclay

The effect of solvent developers on the negative tone development process was studied in both dry and immersion 193 nm lithography. While acetate-based solvent developers yielded sharp thickness contrasts with a minimum resist thickness loss, severe missing contact holes were observed under lower image-log slope conditions. In contrast, ketone-based solvent developers yielded excellent contact hole performance under poor aerial image conditions without the formation of missing contact holes. However, it was observed that ketone-based solvent developers can cause poor thickness contrasts with more resist thickness loss. By using additives in the ketone-based developers, it was possible to tailor various resist performance parameters such as photospeeds, critical dimension uniformity, resist thickness retention, and dissolution rate contrasts. It was found that higher dissolution rate contrast can give better uniformity in the critical dimension, better thickness retention and less missing contact holes.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Effect of scattering bar assist features in 193-nm lithography

Lori Anne Joesten; Michael T. Reilly; Jason DeSisto; Christiane Jehoul

The effect of assist feature optical proximity correction (OPC) has been well examined in 248nm lithography. The design of scattering bars is governed by rules that determine their ideal size and placement and for 248nm lithography have been successfully applied to improve the process latitude for 130nm features. However, assist feature OPC has not been explored for use in 193nm lithography. The objective of this paper is to determine the effectiveness of assist features, specifically scattering bars, in 193nm lithography using established rules for scattering bar size and placement. The experiment consists of a binary reticle with scattering bars of different size and placement. The exposures are obtained on an ASML 5500/950 scanner using conventional, annular and quasar illumination. The results of individual process latitude are examined for 100nm features from dense through isolated lines with no OPC applied to obtain a baseline process. The use of assist feature OPC is examined as a means to improve common and individual process latitudes. However, the initial results indicate that the existing rules for scattering bar design are not adequate to produce a useable process with 193nm lithography. The experimental results are examined to further refine the current rules for the design of scattering bars. The factors that resolve scattering bars and produce resist artifacts are discussed, including illumination, scattering bar size, type and placement. The results indicate that the existing rules for scattering bar design need to be modified for use in 193nm lithography.


Proceedings of SPIE | 2016

Chemical trimming overcoat: an enhancing composition and process for 193nm lithography

Cong Liu; Kevin Rowell; Lori Anne Joesten; Paul Baranowski; Irvinder Kaur; Wanyi Huang; JoAnne Leonard; Hae-Mi Jeong; Kwang-Hwyi Im; Tom Estelle; Charlotte Cutler; Gerd Pohlers; Wenyan Yin; Patricia Fallon; Mingqi Li; Hyun K. Jeon; Cheng Bai Xu; Pete Trefonas

As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.


23rd Annual International Symposium on Microlithography | 1998

Footing reduction of positive deep-UV photoresists on plasma-enhanced ARL (PE ARL) SiON substrates

Lori Anne Joesten; Matthew L. Moynihan; Tracy K. Lindsay; Michael T. Reilly; Kathy Konjuh; David Mordo; Kenneth P. MacWilliams; Srini Sundararajan

Chemically-amplified positive DUV photoresists are well known to exhibit small profile deviation at the resist substrate interface, commonly called footing, when processed on substrates like silicon oxynitride (SiON), titanium nitride, and boron phosphorous silicate glass. Severe footing can cause etch problems resulting in critical dimension nonuniformity and degraded lithographic performance. The objective of this paper is to examine possible solutions to footing on SiON substrates by focusing on three main areas: photoresist formulation, photoresist processing and substrate manipulation.


Proceedings of SPIE | 2010

Improving CD uniformity for thermal cured systems in double patterning

Lori Anne Joesten; Ken Spizuoco; Yi Liu; Young Cheol Bae

The implementation of double patterning processes in 193 immersion lithography is moving forward. The industry is examining several methods of producing robust double pattern images. These methods include thermal cure resists and the use of a spin on chemical to cure the layer 1 resist image. Thermal cure resist systems require fewer processing steps than a chemical curing process. An effective thermal cure process improves process throughput, reduces chemical costs and reduces process complexity In either case, producing wafers with adequate CD Uniformity (CDU) relies on the ability of the layer 1 resist to remain inert during subsequent processing steps. The goal of this paper is to isolate and optimize the critical processing steps using thermal cured resists in order to improve CDU. The system includes a layer 1 thermal cured resist and a traditional layer 2 resist. Processing was done using a TEL Lithius I+ and an ASML XT Twinscan 1900i. The feature of interest is a 42 nm x-Hatch contact hole produced by horizontal lines exposed with layer 1 and vertical lines exposed with layer 2.


26th Annual International Symposium on Microlithography | 2001

Process latitude comparison of advanced DUV photoresists to latest-generation 193-nm photoresists

Lori Anne Joesten; Michael T. Reilly; Frank T.G.M. Linskens; Christiane Jehoul; Colin R. Parker

The introduction of resolution enhancement techniques (RETs) and higher numerical aperture (NA) scanners has pushed the capability of 248nm (DUV) processes to below 130nm. This extension of DUV technology has made the decision to insert 193nm difficult. The decision to either extend the current DUV processes or to change to 193nm will depend on several things: mask costs, tool costs, material availability and photoresist performance. The focus of this paper is on photoresist performance. The process latitudes of advanced DUV photoresists are compared to the latest generation of 193nm resists and simulation is used to estimate the performance obtained on the next generation scanners. This paper examines resist process latitude at several feature sizes to determine the strengths and weaknesses of each platform. The feature sizes to be examined include 130nm, 120nm and 110nm with a pitch ratio of 1:1 Lines/Spaces. The resists are processed on the appropriate anti-reflectant and a resist film thickness of approximately 3,000 angstrom is used. The measured lithographic response will be the individual process windows. The examination of each platforms performance will clarify the differences between the two resists on current scanners and estimate the differences on the next generation scanners. The results show experimentally that the current 193nm resist has slightly better process latitudes than the DUV resist for 1:1 Line/Spaces below 130nm. The estimation of performance on the next generation higher NA scanners indicate that the DUV resist will have better performance than the current 193nm resist. However, when the 193nm resists reach the same maturity as the DUV resist and respond to the aerial images accordingly, the 193nm resist will have better process latitude. While few resolution enhancement techniques are used in the experiments, the results do provide a piece of information required to decide between DUV and 193nm.


Archive | 2016

Multiple-pattern forming methods

Chang-young Hong; Cheng-Bai Xu; Jung Woo Kim; Cong Liu; Shintaro Yamada; Lori Anne Joesten; Choong-Bong Lee; Phillip D. Hustad; James C. Taylor

Collaboration


Dive into the Lori Anne Joesten's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yi Liu

Dow Chemical Company

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Cong Liu

Dow Chemical Company

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge